P6-verilog五层流水线CPU(全指令)

模块设计

整体视图:

1. GRF(寄存器堆)

端口名 输入\输出 位宽 功能
clk Input 1 时钟信号
reset Input 1 复位信号
WE Input 1 使能信号
PC Input 31:0 pc
A1 Input 4:0 输入寄存器地址端口1
A2 Input 4:0 输入寄存器地址端口2
A3 Input 4:0 输入寄存器地址端口3,写寄存器地址
EXTRA Input 4:0 输入寄存器地址端口EX,读寄存器地址
WD Input 31:0 数据输入端口,输入一个32位数据,存入编码为A3的寄存器中
RD1 Output 31:0 输出编码为A1中输入的寄存器中的值
RD2 Output 31:0 输出编码为A2中输入的寄存器中的值
RDEXTRA Output 31:0 输出编码为EXTRA中输入的寄存器中的值

初始化!!

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
module GRF (
input clk,
input reset,
input WE,
input [31:0] PC,
input [4:0] A1,
input [4:0] A2,
input [4:0] A3,
input [4:0] EXTRA,
input [31:0] WD,
output [31:0] RD1,
output [31:0] RD2,
output [31:0] RDEXTRA

);

reg [31:0] registers[31:0];
integer i;
assign RD1 = (A1 === 5'b0) ? 32'b0 : ((A1 === A3) && WE) ? WD : registers[A1];
assign RD2 = (A2 === 5'b0) ? 32'b0 : ((A2 === A3) && WE) ? WD : registers[A2];
assign RDEXTRA = (EXTRA === 5'b0) ? 32'b0 : ((EXTRA === A3) && WE) ? WD : registers[EXTRA];

initial begin
for (i = 0; i < 32; i = i + 1) begin
registers[i] = 32'b0;
end
end


always @(posedge clk) begin
if (reset) begin
for (i = 0; i < 32; i = i + 1) begin
registers[i] <= 32'b0;
end
end else begin
if (WE) begin
registers[A3] <= WD;
end
end
end

endmodule

2. DM

端口名 输入\输出 位宽 功能
m_data_rdata I 31:0 数据存储器存储的相应数据
m_data_addr O 31:0 数据存储器存储的相应数据
m_data_wdata O 31:0 待写入数据存储器相应数据
m_data_byteen O 3:0 四位字节使能
m_inst_addr O 31:0 M 级 PC

使用课程组给定的外部实现。

1
2
3
4
5
assign m_inst_addr=pc_E_OUT-4;
assign m_data_addr=ao_E_OUT;
assign m_data_byteen=data_byteen_IN;////////
assign m_data_wdata=(memdata<<(wd_sll*8));
assign memoutdata=m_data_rdata;

3. NPC

端口名 输入\输出 位宽 功能
clk Input 1 时钟信号
reset Input 1 复位信号
beq_judge Input 1 beq指令pc选择
bne_judge Input 1 bne指令pc选择
j_if Input 1 j指令pc选择
jr_if Input 1 jr指令pc选择
jal_if Input 1 jal指令pc选择
imm Input 31:0 位扩展后的立即数
j_addr Input 25:0 j指令跳转地址
jr_addr Input 31:0 jr指令跳转地址
NPC Output 31:0 输出的真实pc值
NPC_4 Output 31:0 pc+4(用于jal指令写入$ra)

外部引入两个对应位置的寄存器判断相等,通过与beq_if(判断指令是否为beq)连接,实现beq(if$[rs]==$[rt]): PC=PC+offest+4。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
module NPC (
input clk,
input reset,
input beq_judge,
input bne_judge,
input block,
input j_if,
input jr_if,
input jal_if,
input [31:0] imm,
input [31:0] PC_D,
input [25:0] j_addr,
input [31:0] jr_addr,
output reg [31:0] NPC,
);

assign NPC_4 = (NPC + 4);

initial begin
NPC = 32'h0000_3000;
end

always @(posedge clk) begin
if (reset) begin
NPC <= 32'h00003000;
end else if (block === 1) begin
NPC <= NPC;
end else if (j_if == 1'b1 || jal_if == 1'b1) begin
NPC <= {PC_D[31 : 28], j_addr, 2'b00};
end else if (jr_if == 1'b1) begin
NPC <= jr_addr;
end else if (beq_judge || bne_judge) begin
NPC <= ((imm << 2) + PC_D + 4);
end else begin
NPC <= (NPC + 4);
end

end


endmodule

4.IM:

端口名 输入\输出 位宽 功能
i_inst_addr Input 31:0 pc
i_inst_rdata Output 31:0 指令机器码

使用课程组给定的外部实现。

5.ALU

端口名 输入\输出 位宽 功能
ALUOp Input 3:0 ALU功能选择
A Input 31:0 待处理数字1
B Input 31:0 待处理数字2
result Output 31:0 计算结果
overflow Output 1 溢出判断
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
`define ADD 4'b0000
`define SUB 4'b0001
`define MUL 4'b0010
`define DIV 4'b0011
`define AND 4'b0100
`define OR 4'b0101
`define SLT 4'b0110
`define SLTU 4'b0111
module ALU (
input [ 3:0] aluop,
input [31:0] A,
input [31:0] B,

output [31:0] result,
output overflow
);
reg [32:0] bit_33;
assign overflow = (bit_33[32]!=bit_33[31]);
assign result = bit_33[31:0];

always @(*) begin
case (aluop)
`ADD: bit_33 = A + B;
`SUB: bit_33 = A - B;
`MUL: bit_33 = A * B;
`DIV: bit_33 = A / B;
`AND: bit_33 = A & B;
`OR: bit_33 = A | B;
`SLT: bit_33 = ($signed(A)<$signed(B))?$signed(33'b1):$signed(33'b0);
`SLTU: bit_33 = (A < B)?33'b1:33'b0;
default: begin
bit_33 = 33'b0;
end
endcase

end



endmodule

ALUOp:(留一位给剩下的)

0000 0001 0010 0011 0100 0101 0110 0111
add sub mul(无用) div(无用) and or slt sltu

6.EXT

端口名 输入\输出 位宽 功能
imm Input 15:0 16位立即数
extp Input 1 位扩展选择功能
extresult Output 31:0 位扩展计算结果
1
2
3
4
5
6
7
8
9
10
module EXT (
input [1:0] extop,
input [15:0] imm,
output [31:0] extresult
);
assign extresult = (extop == 2'b00) ? {16'h0000, imm} :
(extop == 2'b01) ? {{16{imm[15]}}, imm} :
(extop == 2'b10) ? {imm, 16'h0000} : {16'h0000, imm};//²âÊÔ
endmodule

EXTOp: 00 01 10 11
功能 0扩展 符号扩展 高位加载 空余

7.CTRL

端口名 输入\输出 位宽 功能
opcode Input 5:0 高六位opcode
func Input 5:0 低六位opcode
instr Input 31:0 指令码
regwrite Output 1 reg写使能
regwritedst Output 1:0 寄存器写选择
alusrc Output 1 alu选择imm入B口
memwrite Output 1 mem写使能
memtoreg Output 1 mem写入reg选择
jr_if Output 1 jr判断
j_if Output 1 j判断
jal_if Output 1 jal判断
beq_if Output 1 beq判断
bne_if Output 1 bne判断
extop Output 1:0 ext功能选择
aluop Output 3:0 alu功能选择

通过输入的指令码各部分,进行操作状态输出。

执行指令
信号名 add sub and or mult/multu div/divu slt sltu andi addi lui ori j jal jr beq bne sw/sh/sb lw/lh&lhu/lb&lbu nop mfhi/lo mthi/lo
opcode 000000 000000 000000 000000 000000 000000 000000 000000 001100 001000 001111 001101 000010 000011 000000 000100 000101 101011 101001 101000 100011 100001 100101 100000 100100 000000 000000 000000
func 100000 100010 100100 100101 011000 011001 011010 011011 101010 101011 001000 000000 010000 010010 010001 010011
regwrite 1 1 1 1 0 0 1 1 1 1 1 1 0 1 0 0 0 0 1 0 1 0
regwritedst(2) 01(rd) 01 01 01 00 00 01 01 00 00 00 00(rt) 00 10($ra) 00 00 00 00 00 00 01 00
alusrc(imm) 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 1 0 0 0
memwrite 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1111 0011 0001 1111 0011 0001 0 0 0
memtoreg 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1111 0 0 0
extop(2) 00 00 00 00 00 00 00 00 00 00 10 00 00 00 00 01 01 01 01 00 00 0
beq_if 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0
bne_if 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0
j_if 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0
jal_if 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0
jr_if 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0
ALU_ctr3 0 0 1 1 0 0 1 1 1 0 0(add$0) 1 0 0 0 0 0 0 0 0 0 0
ALU_ctr2 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0
ALU_ctr1 0 1 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0

不使用ALU默认为加(000)。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
//////OPCODE=0
`define OPCODE0 6'b000000
`define ADD 6'b100000
`define SUB 6'b100010
`define AND 6'b100100
`define OR 6'b100101
`define JR 6'b001000
`define SLT 6'b101010
`define SLTU 6'b101011

`define MULT 6'b011000///
`define MULTU 6'b011001///
`define DIV 6'b011010
`define DIVU 6'b011011///

`define MFHI 6'b010000///
`define MTHI 6'b010001///
`define MFLO 6'b010010///
`define MTLO 6'b010011///
/////////
`define ADDI 6'b001000
`define ANDI 6'b001100
`define ORI 6'b001101
`define LUI 6'b001111

`define J 6'b000010
`define JAL 6'b000011

`define SB 6'b101000///
`define SH 6'b101001///
`define SW 6'b101011

`define LB 6'b100000///
`define LH 6'b100001///
`define LW 6'b100011
`define LBU 6'b100100///
`define LHU 6'b100101///



`define BEQ 6'b000100
`define BNE 6'b000101///
`define FUNC0 6'b000000

`define NOP 6'b000000
module CTRL (
input [5:0] opcode,
input [5:0] func,
input [31:0] instr,
output reg regwrite,
output reg [1:0] regwritedst,
output reg alusrc,
output reg [3:0] memwrite,
output reg [3:0] memtoreg,
output reg [1:0] extop,
output reg [3:0] aluop,



output reg beq_if,
output reg bne_if,
output reg j_if,
output reg jr_if,
output reg jal_if
);
always @(*) begin
if (instr == 32'b0) begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end else begin
case (opcode)
`OPCODE0:
case (func)
`ADD: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`SUB: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0001;
end
`AND: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0100;
end
`OR: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0101;
end
`JR: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b1,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`SLT: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0110;
end
`SLTU: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0111;
end

`MFHI: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`MFLO: begin
{regwrite , regwritedst} = {1'b1,2'b01};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`MTHI: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`MTLO: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end

`MULT: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`MULTU: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`DIV: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`DIVU: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end




default: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
endcase
`ANDI: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0100;
end
`ADDI: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`LUI: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b10; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`ORI: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0101;
end
`J: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b1,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`JAL: begin
{regwrite , regwritedst} = {1'b1,2'b10};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b1,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
`BEQ: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b1,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0001;
end
`BNE: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b1};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0001;
end
`SW: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b1111,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`SH: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0011,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`SB: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0001,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`LW: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b1111};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`LH: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0011};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`LHU: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0011};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`LB: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0001};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end
`LBU: begin
{regwrite , regwritedst} = {1'b1,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0001};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b01; //unsigned,signed,lui
alusrc = 1'b1; //grf,imm
aluop = 4'b0000;
end

default: begin
{regwrite , regwritedst} = {1'b0,2'b00};//rt,rd,31
{memwrite , memtoreg} = {4'b0,4'b0};
{beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b0,1'b0,1'b0};
extop = 2'b00; //unsigned,signed,lui
alusrc = 1'b0; //grf,imm
aluop = 4'b0000;
end
endcase
end
end
endmodule

各信号注意点:

  • add、sub、jr指令需要先判断opcode再判断func。

8.HCTRL

端口名 输入\输出 位宽 批注
IR_F Input 31:0 /
IR_D Input 31:0 /
RS_D Input 4:0 /
RT_D Input 4:0 /
RS_E Input 4:0 /
RT_E Input 4:0 /
WA_E Input 4:0 /
WA_M Input 4:0 /
WA_W Input 4:0 /
memtoreg_E Input 1 /
memtoreg_M Input 1 /
regwrite_E Input 1 /
regwrite_M Input 1 /
regwrite_W Input 1 /
memwrite_M Input 1 /
BUSY Input 1 乘除器阻塞
D_CLEAR Output 1 D流水寄存器清空
F_BLOCK Output 1 F流水寄存器保持
PC_BLOCK Output 1 PC保持
rd1_sel Output 1:0 R1_D_IN选择
rd2_sel Output 1:0 R2_D_IN选择
frd1_sel Output 1:0 aluA选择
frd1_sel Output 1:0 aluB选择
memdata_sel Output 1:0 mem写入WD选择
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
`timescale 1ns / 1ps
`define OPCODE0 6'b000000
`define ADD 6'b100000
`define SUB 6'b100010
`define AND 6'b100100
`define OR 6'b100101
`define JR 6'b001000
`define SLT 6'b101010
`define SLTU 6'b101011

`define MULT 6'b011000///
`define MULTU 6'b011001///
`define DIV 6'b011010
`define DIVU 6'b011011///
`define MFHI 6'b010000///
`define MTHI 6'b010001///
`define MFLO 6'b010010///
`define MTLO 6'b010011///
/////////
`define ADDI 6'b001000
`define ANDI 6'b001100
`define ORI 6'b001101
`define LUI 6'b001111

`define J 6'b000010
`define JAL 6'b000011

`define SB 6'b101000///
`define SH 6'b101001///
`define SW 6'b101011

`define LB 6'b100000///
`define LH 6'b100001///
`define LW 6'b100011
`define LBU 6'b100100///
`define LHU 6'b100101///


`define BEQ 6'b000100
`define BNE 6'b000101///
`define FUNC0 6'b000000

`define NOP 6'b000000
module HCTRL (
input clk,
input [31:0] IR_D,
input [31:0] IR_E,
input [31:0] IR_M,
input [4:0] RS_D,
input [4:0] RT_D,
input [4:0] RS_E,
input [4:0] RT_E,
input [4:0] WA_M,
input [4:0] WA_W,
input [4:0] WA_E,
input [3:0]memtoreg_E,
input [3:0]memtoreg_M,
input regwrite_E,
input regwrite_M,
input regwrite_W,
input BUSY,
input [3:0]memwrite_M,
output reg D_CLEAR,
output reg F_BLOCK,
output reg PC_BLOCK,
output reg [1:0] rd1_sel,
output reg [1:0] rd2_sel,
output reg [1:0] frd1_sel,
output reg [1:0] frd2_sel,
output reg [1:0] memdata_sel

);

reg [31:0] E_T_new;
reg [31:0] M_T_new;
reg [31:0] W_T_new;
reg [31:0] T_use;
wire [5:0] opcode_D;
wire [5:0] func_D;
wire use_less_E_new;
wire use_less_M_new;
wire use_less_W_new;
wire alutype;
wire swtype;
wire lwtype;
wire muldivtype;
wire mftype;
wire mttype;

assign alutype=((opcode_D===`OPCODE0)&&(func_D===`ADD||func_D===`SUB||func_D===`AND||func_D===`OR||func_D===`SLT||func_D===`SLTU))||
(opcode_D===`ORI)||(opcode_D===`ADDI)||(opcode_D===`ANDI);

assign swtype=(opcode_D===`SW)||(opcode_D===`SB)||(opcode_D===`SH);
assign lwtype=(opcode_D===`LW)||(opcode_D===`LB)||(opcode_D===`LH)||(opcode_D===`LBU)||(opcode_D===`LHU);

assign muldivtype=((opcode_D===`OPCODE0)&&(func_D===`MULT||func_D===`MULTU||func_D===`DIV||func_D===`DIVU));
assign mftype=((opcode_D===`OPCODE0)&&(func_D===`MFHI||func_D===`MFLO));
assign mttype=((opcode_D===`OPCODE0)&&(func_D===`MTHI||func_D===`MTLO));

assign use_less_E_new=((((WA_E==RS_D&&RS_D!==0)||(WA_E==RT_D&&RT_D!==0))&&(regwrite_E)&&(T_use < E_T_new))===1);
assign use_less_M_new=((((WA_M==RS_D&&RS_D!==0)||(WA_M==RT_D&&RT_D!==0))&&(regwrite_M)&&(T_use < M_T_new))===1);
assign use_less_W_new=((((WA_W==RS_D&&RS_D!==0)||(WA_W==RT_D&&RT_D!==0))&&(regwrite_W)&&(T_use < W_T_new))===1);

assign opcode_D = IR_D[31:26];
assign func_D = IR_D[5:0];
always @(*) begin
if ((memtoreg_E===4'b0001||memtoreg_E===4'b0011||memtoreg_E===4'b1111) && regwrite_E) begin
E_T_new = 2;
end else if ( ((memtoreg_E===4'b0000) && regwrite_E)||mftype===1 )begin
E_T_new = 1;
end else begin
E_T_new = 0;
end


if (memtoreg_M===4'b0001||memtoreg_M===4'b0011||memtoreg_M===4'b1111) begin
M_T_new = 1;
end else begin
M_T_new = 0;
end
W_T_new = 0;
if(alutype===1||muldivtype===1||mttype===1||lwtype===1||swtype===1) begin
T_use = 1;
end
else if ( opcode_D === `LUI || opcode_D === `J || opcode_D === `JAL || IR_F === 32'b0||mftype===1) begin
T_use = 100;
end else begin
T_use = 0;
end
if (use_less_E_new || use_less_M_new || use_less_W_new||(BUSY===1&&(muldivtype===1||mftype===1||mttype===1))) begin
PC_BLOCK = 1;
D_CLEAR = 1;
F_BLOCK = 1;
end else begin

PC_BLOCK = 0;
D_CLEAR = 0;
F_BLOCK = 0;
end



if ((M_T_new == 0) && (WA_M == RS_E) && (regwrite_M)) begin
frd1_sel = 1;
end else if ((W_T_new == 0) && (WA_W == RS_E) && (regwrite_W)) begin
frd1_sel = 2;
end else begin
frd1_sel = 0;
end

if ((M_T_new == 0) && (WA_M == RT_E) && (regwrite_M)) begin
frd2_sel = 1;
end else if ((W_T_new == 0) && (WA_W == RT_E) && (regwrite_W)) begin
frd2_sel = 2;
end else begin
frd2_sel = 0;
end




if (M_T_new === 0 && WA_M === RS_D && regwrite_M) begin
rd1_sel = 0;
end else begin
rd1_sel = 1;
end
if (M_T_new === 0 && WA_M === RT_D && regwrite_M) begin
rd2_sel = 0;
end else begin
rd2_sel = 1;
end


if (memwrite_M!==4'b0000) begin
memdata_sel = 0;//normal 1->grfextrain=>rdextra
end else begin
memdata_sel = 1;//normal
end

end

endmodule

9.MULDIV

端口名 输入\输出 位宽 批注
clk Input 1 /
reset Input 1 /
op Input 2:0 功能选择
A Input 31:0 数A
B Input 31:0 数B
inster Input 31:0 机器码
HI_OUT Output 31:0 HI寄存器值
LO_OUT Output 31:0 LO寄存器值
busy Output 1 乘除阻塞

通过count来计数count大于1时将busy置1且count–,当判断为乘除指令时start置1,此时将count初始化,完成周期阻塞。

只有busy为1 ,且判断D级为乘除指令才会阻塞。

1
2
3
4
5
6
7
HCTRL:

if (use_less_E_new || use_less_M_new || use_less_W_new||(BUSY===1&&(muldivtype===1||mftype===1||mttype===1))) begin
PC_BLOCK = 1;
D_CLEAR = 1;
F_BLOCK = 1;
end
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
`define MULTop      3'b000///
`define MULTUop 3'b001///
`define DIVop 3'b010
`define DIVUop 3'b011///

`define MULT 6'b011000///
`define MULTU 6'b011001///
`define DIV 6'b011010
`define DIVU 6'b011011///

`define MTHI 6'b010001
`define MTLO 6'b010011
`define OPCODE0 6'b000000
module MULDIV (
input [2:0] op,
input clk,
input reset,
input [31:0] inster,
input [31:0] A,
input [31:0] B,

output [31:0] HI_OUT,
output [31:0] LO_OUT,
output busy
);
reg [31:0] count;
reg [31:0] HI;
reg [31:0] LO;
wire [5:0]opcode;
wire [5:0]func;
wire start;
wire [65:0]bit_66_mult;
wire [65:0]bit_66_multu;
wire [65:0]bit_66_div;
wire [65:0]bit_66_divu;
wire [65:0]bit_66_divmod;
wire [65:0]bit_66_divumod;
assign HI_OUT=HI;
assign LO_OUT=LO;
assign start=(opcode===`OPCODE0)&&((func===`MULT)||(func===`MULTU)||(func===`DIV)||(func===`DIVU));
assign busy=(count>0)?1'b1:1'b0;
assign opcode=inster[31:26];
assign func=inster[5:0];
assign bit_66_mult=$signed(A)*$signed(B);
assign bit_66_multu=A*B;
assign bit_66_div=$signed(A)/$signed(B);
assign bit_66_divu=A/B;
assign bit_66_divmod=$signed(A)%$signed(B);
assign bit_66_divumod=A%B;

always @(posedge clk) begin
if (reset) begin
HI<=32'b0;
LO<=32'b0;
count<=0;

end
else begin
if(count>0) begin
count<=count-1;
end
if (start) begin
case(op)
`MULTop: begin
HI<=bit_66_mult[63:32];
LO<=bit_66_mult[31:0];
count<=6;
end
`MULTUop: begin
HI<=bit_66_multu[63:32];
LO<=bit_66_multu[31:0];
count<=6;
end
`DIVop: begin
HI<=bit_66_divmod[31:0];
LO<=bit_66_div[31:0];
count<=11;
end
`DIVUop: begin
HI<=bit_66_divumod[31:0];
LO<=bit_66_divu[31:0];
count<=11;
end

endcase
end
else if(opcode===`OPCODE0&&func===`MTHI) begin
HI<=A;
end
else if (opcode===`OPCODE0&&func===`MTLO) begin
LO<=A;
end
else begin
end
end
end
endmodule

10.LOADEXT

端口名 输入\输出 位宽 批注
memtoreg Input 3:0 /
memwrite Input 3:0 /
ir Input 31:0 机器码
addr Input 31:0 写入地址
memoutdata Input 31:0 内存读取值
memwrite_databyteen Output 3:0 内存写字节控制
extmemoutdata Output 31:0 内存读字节扩展结果
wd_sll Output 1:0 内存写字节移位同步量

因为如sh指令,要写入的始终是reg[15:0],如果只控制写入字节会导致错位

通过移位,使得总是低位的字节写入对应位置内存

1
assign m_data_wdata=(memdata<<(wd_sll*8));

sw 指令:向内存写入对应的字

地址[1:0] m_data_byteen [3:0] 用途
XX 1111 m_data_wdata[31:24] 写入 byte3 m_data_wdata[23:16] 写入 byte2 m_data_wdata[15:8] 写入 byte1 m_data_wdata[7:0] 写入 byte0

sh 指令:向内存写入对应的半字

地址[1:0] m_data_byteen [3:0] 用途
0X 0011 m_data_wdata[15:8] 写入 byte1 m_data_wdata[7:0] 写入 byte0
1X 1100 m_data_wdata[31:24] 写入 byte3 m_data_wdata[23:16] 写入 byte2

sb 指令:向内存写入对应的字节

地址[1:0] m_data_byteen [3:0] 用途
00 0001 m_data_wdata[7:0] 写入 byte0
01 0010 m_data_wdata[15:8] 写入 byte1
10 0100 m_data_wdata[23:16] 写入 byte2
11 1000 m_data_wdata[31:24] 写入 byte3

lw,lh,lb同理,通过(ir[28]===1’b1可以判断是否为无符号读

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
module LOADEXT (
input [3:0] memtoreg,
input [3:0] memwrite,
input [31:0] ir,
input [31:0] addr,
input [31:0] memoutdata,
output [3:0] memwrite_databyteen,
output [31:0] extmemoutdata,
output [1:0] wd_sll
);
wire [3:0] byteen;
assign byteen=(memtoreg === 4'b1111) ? {4'b1111} :
(memtoreg === 4'b0011) ? {4'b0011<<{addr[1],1'b0}} :
(memtoreg === 4'b0001) ? {4'b0001<<addr[1:0]} :4'b0000;
assign memwrite_databyteen=(memwrite === 4'b1111) ? {4'b1111} :
(memwrite === 4'b0011) ? {4'b0011<<{addr[1],1'b0}} :
(memwrite === 4'b0001) ? {4'b0001<<addr[1:0]} :4'b0000;
assign wd_sll=(memwrite === 4'b1111) ? 2'b0 :
(memwrite === 4'b0011) ? {addr[1],1'b0} :
(memwrite === 4'b0001) ? addr[1:0] :2'b0;
assign extmemoutdata = (byteen === 4'b1111) ? {memoutdata} :
(byteen === 4'b0011) ? ((ir[28]===1'b1)?{16'b0,memoutdata[15:0]}:{{16{memoutdata[15]}},memoutdata[15:0]}):
(byteen === 4'b1100) ? ((ir[28]===1'b1)?{16'b0,memoutdata[31:16]}:{{16{memoutdata[31]}},memoutdata[31:16]}) :
(byteen === 4'b0001) ? ((ir[28]===1'b1)?{24'b0,memoutdata[7:0]}:{{24{memoutdata[7]}},memoutdata[7:0]} ):
(byteen === 4'b0010) ? ((ir[28]===1'b1)?{24'b0,memoutdata[15:8]}:{{24{memoutdata[15]}},memoutdata[15:8]} ) :
(byteen === 4'b0100) ? ((ir[28]===1'b1)?{24'b0,memoutdata[23:16]}:{{24{memoutdata[23]}},memoutdata[23:16]} ) :
(byteen === 4'b1000) ? ((ir[28]===1'b1)?{24'b0,memoutdata[31:24]}:{{24{memoutdata[31]}},memoutdata[31:24]} ) : {32'b0};
endmodule

11.流水线REG

F:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
`timescale 1ns / 1ps

module F_REG (
input clk,
input reset,
input BLOCK,
input [31:0] PC_F_IN,
input [31:0] IR_F_IN,
output [31:0] PC_F_OUT,
output [31:0] IR_F_OUT

);
reg [31:0] PC_F;
reg [31:0] IR_F;
assign PC_F_OUT = PC_F;
assign IR_F_OUT = IR_F;

always @(posedge clk) begin
if (reset) begin
PC_F <= 32'b0;
IR_F <= 32'b0;
end else begin
if (BLOCK) begin
PC_F <= PC_F;
IR_F <= IR_F;
end else begin
PC_F <= PC_F_IN;
IR_F <= IR_F_IN;
end
end
end
endmodule

D:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
`timescale 1ns / 1ps

module D_REG (
input clk,
input reset,
input D_CLEAR,
input [31:0] PC_D_IN,
input [31:0] IR_D_IN,
input [31:0] R1_D_IN,
input [31:0] R2_D_IN,

output [31:0] PC_D_OUT,
output [31:0] R1_D_OUT,
output [31:0] R2_D_OUT,
output [31:0] IR_D_OUT,


input regwrite,
input [1:0] regwritedst,
input alusrc,
input [3:0] memwrite,
input [3:0] memtoreg,
input [3:0] aluop,
input jal_if,
input [31:0] IMM_D_IN,

output [3:0] memtoreg_E,
output regwrite_E,
output [1:0] regwritedst_E,
output [3:0] memwrite_E,
output alusrc_E,
output [3:0] aluop_E,
output jal_if_E,
output [31:0] IMM_D_OUT

);

reg [31:0] PC_D;
reg [31:0] R1_D;
reg [31:0] R2_D;
reg [31:0] IR_D;
reg [31:0] IMM_D;
reg regwritereg;
reg [1:0] regwritedstreg;
reg alusrcreg;
reg [3:0]memwritereg;
reg [3:0] memtoregreg;
reg [3:0] aluopreg;
reg jal_ifreg;

assign PC_D_OUT = PC_D;
assign R1_D_OUT = R1_D;
assign R2_D_OUT = R2_D;
assign IR_D_OUT = IR_D;
assign IMM_D_OUT = IMM_D;
assign regwrite_E = regwritereg;
assign regwritedst_E = regwritedstreg;
assign memtoreg_E = memtoregreg;
assign memwrite_E = memwritereg;
assign alusrc_E = alusrcreg;
assign aluop_E = aluopreg;
assign jal_if_E = jal_ifreg;
always @(posedge clk) begin
if (reset) begin
PC_D <= 32'b0;
R1_D <= 32'b0;
R2_D <= 32'b0;
IR_D <= 32'b0;
IMM_D <= 32'b0;
jal_ifreg <= 0;
regwritereg <= 0;
regwritedstreg <= 2'b0;
alusrcreg <= 0;
memwritereg <= 4'b0;
memtoregreg <= 4'b0;
aluopreg <= 4'b0;
end else begin
if (D_CLEAR) begin
PC_D <= 32'b0;
R1_D <= 32'b0;
R2_D <= 32'b0;
IR_D <= 32'b0;
IMM_D <= 32'b0;
jal_ifreg <= 0;
regwritereg <= 0;
regwritedstreg <= 2'b0;
alusrcreg <= 0;
memwritereg <= 4'b0;
memtoregreg <= 4'b0;
aluopreg <= 4'b0;
end else begin
PC_D <= PC_D_IN;
IR_D <= IR_D_IN;
R1_D <= R1_D_IN;
R2_D <= R2_D_IN;
IMM_D <= IMM_D_IN;
jal_ifreg <= jal_if;
regwritereg <= regwrite;
regwritedstreg <= regwritedst;
alusrcreg <= alusrc;
memwritereg <= memwrite;
memtoregreg <= memtoreg;
aluopreg <= aluop;
end
end
end
endmodule

E:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
`timescale 1ns / 1ps

module E_REG (
input clk,
input reset,
input [31:0] PC_E_IN,
input [31:0] AO_E_IN,
input [31:0] WD_E_IN,
input [4:0] WA_E_IN,
input [31:0] IR_E_IN,
output [31:0] PC_E_OUT,
output [31:0] AO_E_OUT,
output [31:0] WD_E_OUT,
output [4:0] WA_E_OUT,
output [31:0] IR_E_OUT,

input regwrite,
input [3:0] memwrite,
input [3:0] memtoreg,

output [3:0] memtoreg_M,
output regwrite_M,
output [3:0] memwrite_M

);
reg [31:0] PC_E;
reg [31:0] AO_E;
reg [31:0] WD_E;
reg [4:0] WA_E;
reg [31:0] IR_E;
reg regwritereg;
reg [3:0] memtoregreg;
reg [3:0] memwritereg;
assign PC_E_OUT = PC_E;
assign AO_E_OUT = AO_E;
assign WD_E_OUT = WD_E;
assign WA_E_OUT = WA_E;
assign IR_E_OUT = IR_E;
assign memtoreg_M = memtoregreg;
assign memwrite_M = memwritereg;
assign regwrite_M = regwritereg;
always @(posedge clk) begin
if (reset) begin
PC_E <= 32'b0;
AO_E <= 32'b0;
WD_E <= 32'b0;
WA_E <= 5'b0;
IR_E <= 31'b0;
memtoregreg <= 4'b0;
memwritereg <= 4'b0;
regwritereg <= 0;
end else begin

PC_E <= PC_E_IN;
AO_E <= AO_E_IN;
WD_E <= WD_E_IN;
WA_E <= WA_E_IN;
IR_E <= IR_E_IN;
memtoregreg <= memtoreg;
memwritereg <= memwrite;
regwritereg <= regwrite;
;


end

end
endmodule

M:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
`timescale 1ns / 1ps

module M_REG (
input clk,
input reset,
input [31:0] PC_M_IN,
input [31:0] AO_M_IN,
input [31:0] MD_M_IN,
input [4:0] WA_M_IN,
output [31:0] AO_M_OUT,
output [31:0] MD_M_OUT,
output [4:0] WA_M_OUT,
output [31:0] PC_M_OUT,

input regwrite,
input [3:0] memtoreg,

output [3:0] memtoreg_W,
output regwrite_W

);
reg [31:0] AO_M;
reg [31:0] MD_M;
reg [4:0] WA_M;
reg [31:0] PC_M;
reg regwritereg;
reg [3:0] memtoregreg;
assign AO_M_OUT = AO_M;
assign MD_M_OUT = MD_M;
assign WA_M_OUT = WA_M;
assign PC_M_OUT = PC_M;
assign regwrite_W = regwritereg;
assign memtoreg_W = memtoregreg;
always @(posedge clk) begin
if (reset) begin
AO_M <= 32'b0;
MD_M <= 32'b0;
WA_M <= 5'b0;
PC_M <= 32'b0;
regwritereg <= 0;
memtoregreg <= 4'b0;
end else begin

AO_M <= AO_M_IN;
MD_M <= MD_M_IN;
WA_M <= WA_M_IN;
PC_M <= PC_M_IN;
regwritereg <= regwrite;
memtoregreg <= memtoreg;

end
end
endmodule

注意一下特殊的清空或者阻塞信号即可。

12.mips(顶层模块)

注意流水寄存器中为pc+4,使用时需要减去4,而jal的pc+8需要加4

添加了31号和pc+8的选择。

x_D_IN表示一个名为x的信号,IN表示输入,D表示输入的流水线寄存器

x_E 表示一个名为x的信号,处于E时期,可能为D_OUT或者E_IN。

M_REG表示其前一阶段为M阶段。

转发表:

供给者序号\需求者 D级grf的输出 E级alu的输入 M级mem的内存写入数据WD
0 AO_E_OUT r1_D_OUT/r2_D_OUT WD_E_OUT
1 rd1/rd2 AO_E_OUT realgrfdata(真实值)
2 / grfwritedata(包括memout和AO_M_OUT) /

mux暂时不用,改为assign选择。

MUX选择信号表:

序号 \ 需求者/控制信号 aluB/alusrc AO_E_IN/jal_if WA_E_IN/regdst_E grfwritedata/memtoreg_W
0 转发后的rd2 aluresult rt AO_M_OUT(alu结果)
1 imm pc+8(jal使用0) rd MD_M_OUT(内存读取)
2 / LO/HI(由指令判断在jal_if前) 31 /
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
`define MFHI    6'b010000///
`define MTHI 6'b010001///
`define MFLO 6'b010010///
`define MTLO 6'b010011///
`define OPCODE0 6'b000000///
module mips(
input clk,
input reset,
/////////////////////////////////////////IM
output [31:0] i_inst_addr,//pc
input [31:0] i_inst_rdata,//inster
///////////////////////////////////////////DM
output [31:0] m_data_addr,
output [31:0] m_data_wdata,
output [3 :0] m_data_byteen,
output [31:0] m_inst_addr,
input [31:0] m_data_rdata,
////////////////////////////////////////////grf
output w_grf_we,
output [4:0] w_grf_addr,
output [31:0] w_grf_wdata,
output [31:0] w_inst_addr

);
///////////////////////////////////////////////WIRE
wire [31:0]pc_4;
wire d_clear;
wire f_block;
wire pc_block;
wire [1:0] rd1_sel;
wire [1:0] rd2_sel;
wire [1:0] frd1_sel;
wire [1:0] frd2_sel;
wire [31:0] pc_NPC_IM;//
wire [31:0] ir_IM_F;//
wire [31:0] pc_F_OUT;//
wire [31:0] ir_F_OUT;//
wire [31:0] r1_D_IN;
wire [31:0] r2_D_IN;
wire [31:0] rd1;
wire [31:0] rd2;
wire [3:0] memwrite;
wire [3:0]memtoreg;
wire regwrite;
wire [1:0] regwritedst;
wire alusrc;

wire beq_if;
wire bne_if;
wire j_if;
wire jr_if;
wire jal_if;

wire [1:0] extop;
wire [3:0] aluop;

wire [31:0] extresult;

wire [31:0] imm_D_OUT;
wire [31:0] pc_D_OUT;
wire [31:0] r1_D_OUT;
wire [31:0] r2_D_OUT;
wire [31:0] ir_D_OUT;
wire [3:0] aluop_E;
wire [3:0] memwrite_E;
wire [3:0]memtoreg_E;
wire regwrite_E;
wire [1:0] regwritedst_E;
wire alusrc_E;
wire beq_judge;
wire bne_judge;
wire jal_if_E;

wire [31:0] aluA;
wire [31:0] aluB;
wire overflow;
wire [31:0] aluresult;

wire [31:0] ao_E_IN;
wire [3:0] memwrite_M;
wire [3:0]memtoreg_M;
wire regwrite_M;

wire [31:0] wd_E_IN;
wire [4:0] wa_E_IN;
wire [31:0] pc_E_OUT;
wire [31:0] ao_E_OUT;
wire [31:0] wd_E_OUT;
wire [4:0] wa_E_OUT;


wire [31:0] memoutdata;
wire [31:0] extmemoutdata;

wire [3:0]memtoreg_W;
wire regwrite_W;

wire [31:0] md_M_OUT;
wire [31:0] md_M_IN;
wire [31:0] ao_M_OUT;
wire [4:0] wa_M_OUT;

wire [31:0] pc_M_OUT;

wire [31:0] grfdata;

wire [31:0]memdata;
wire [1:0]memdata_sel;
wire [31:0]rdextra;
wire [31:0]ir_E_OUT;

wire [3:0]data_byteen_IN;

wire [31:0] HI;
wire [31:0] LO;
wire busy;
wire [1:0] wd_sll;
wire [4:0]grfextrain;

//////IM
assign i_inst_addr=pc_NPC_IM;
assign ir_IM_F=i_inst_rdata;
//////DM
assign m_inst_addr=pc_E_OUT;
assign m_data_addr=ao_E_OUT;
assign m_data_byteen=data_byteen_IN;////////
assign m_data_wdata=(memdata<<(wd_sll*8));
assign memoutdata=m_data_rdata;

/////GRF
assign w_grf_we=regwrite_W;
assign w_grf_addr=wa_M_OUT;
assign w_grf_wdata=grfdata;
assign w_inst_addr=pc_M_OUT;

////////////////////////////////////////////////////MUX_F

assign r1_D_IN=(rd1_sel===2'b00)?((wa_E_OUT===5'b0)?32'b0:ao_E_OUT):rd1;
assign r2_D_IN=(rd2_sel===2'b00)?((wa_E_OUT===5'b0)?32'b0:ao_E_OUT):rd2;

assign beq_judge=(beq_if&&(r1_D_IN==r2_D_IN))?1'b1:1'b0;
assign bne_judge=(bne_if&&(r1_D_IN!=r2_D_IN))?1'b1:1'b0;

////////////////////////////////////////////////////MUX_D

assign aluA=(ir_D_OUT[25:21]===5'b0)?(32'b0):((frd1_sel===2'b00)?r1_D_OUT:
(frd1_sel===2'b01)?ao_E_OUT:grfdata);
assign aluB=(alusrc_E===1'b0)?((ir_D_OUT[20:16]===5'b0)?32'b0:(frd2_sel===2'b00)?r2_D_OUT:
(frd2_sel===2'b01)?ao_E_OUT:grfdata):(imm_D_OUT);
assign grfdata=(memtoreg_W===4'b0)?ao_M_OUT:md_M_OUT;

////////////////////////////////////////////////////MUX_E

assign ao_E_IN=(ir_D_OUT[31:26]===`OPCODE0&&ir_D_OUT[5:0]===`MFHI)?HI:
(ir_D_OUT[31:26]===`OPCODE0&&ir_D_OUT[5:0]===`MFLO)?LO:
((jal_if_E===1'b1)?(pc_D_OUT+8):aluresult);//pc+8
assign wd_E_IN=(ir_D_OUT[20:16]===5'b0)?32'b0:(frd2_sel===2'b00)?r2_D_OUT:
(frd2_sel===2'b01)?ao_E_OUT:grfdata;
assign wa_E_IN=(regwritedst_E===2'b00)?ir_D_OUT[20:16]:
(regwritedst_E===2'b01)?ir_D_OUT[15:11]:5'b11111;

////////////////////////////////////////////////////MUX_M

assign memdata=(memdata_sel===2'b00)?(wd_E_OUT):
rdextra;
assign md_M_IN=extmemoutdata;
//assign grfextrain=

////////////////////////////////////////////////////MUX_W
HCTRL hctrl(
.clk(clk),//
.IR_D(ir_F_OUT),
.IR_E(ir_D_OUT),//
.IR_M(ir_E_OUT),//
.D_CLEAR(d_clear),//
.F_BLOCK(f_block),//
.PC_BLOCK(pc_block),//
.BUSY(busy),
.RS_D(ir_F_OUT[25:21]),//
.RT_D(ir_F_OUT[20:16]),//
.RS_E(ir_D_OUT[25:21]),//
.RT_E(ir_D_OUT[20:16]),//
.WA_M(wa_E_OUT),//
.WA_W(wa_M_OUT),//
.WA_E(wa_E_IN),//
.memtoreg_E(memtoreg_E),//
.memtoreg_M(memtoreg_M),//
.regwrite_E(regwrite_E),//
.regwrite_M(regwrite_M),//
.regwrite_W(regwrite_W),//
.memwrite_M(memwrite_M),


.rd1_sel(rd1_sel),
.rd2_sel(rd2_sel),
.frd1_sel(frd1_sel),
.frd2_sel(frd2_sel),
.memdata_sel(memdata_sel)
);
///////////////////////////////////F
NPC npc (
.clk(clk), //
.reset(reset), //
.NPC(pc_NPC_IM), //
.NPC_4(pc_4), //
.PC_D(pc_F_OUT),//
.block(pc_block),//
.beq_judge(beq_judge),
.bne_judge(bne_judge), //
.j_if(j_if), //
.jr_if(jr_if),//
.jal_if(jal_if), //
.imm(extresult), //
.j_addr(ir_F_OUT[25:0]), //
.jr_addr(r1_D_IN)//
);
F_REG f_reg (
.clk(clk), //
.reset(reset), //
.BLOCK(f_block), //
.PC_F_IN(pc_NPC_IM), //
.IR_F_IN(ir_IM_F), //
.PC_F_OUT(pc_F_OUT), //
.IR_F_OUT(ir_F_OUT)//
);
////////////////////////////////////D
GRF grf (
.clk(clk), //
.reset(reset), //
.PC(pc_M_OUT), //
.WE(regwrite_W), //
.A1(ir_F_OUT[25:21]), //RS
.A2(ir_F_OUT[20:16]), //RT
.A3(wa_M_OUT),
.EXTRA(grfextrain), //
.WD(grfdata), //
.RD1(rd1), //
.RD2(rd2),
.RDEXTRA(rdextra) //
);

CTRL ctrl (
.instr(ir_F_OUT),//
.opcode(ir_F_OUT[31:26]),//
.func(ir_F_OUT[5:0]),//

.regwrite(regwrite),//
.regwritedst(regwritedst),//
.alusrc(alusrc),//
.memwrite(memwrite),//
.memtoreg(memtoreg),//
.beq_if(beq_if),//
.bne_if(bne_if),
.j_if(j_if),//
.jr_if(jr_if),//
.jal_if(jal_if),//
.extop(extop),//
.aluop(aluop)//
);
EXT ext (
.extop(extop), //
.imm(ir_F_OUT[15:0]), //
.extresult(extresult) //
);
D_REG d_reg (
.clk(clk), //
.reset(reset), //
.D_CLEAR(d_clear), //

.regwrite(regwrite),//
.regwritedst(regwritedst),//
.alusrc(alusrc),//
.memwrite(memwrite),//
.memtoreg(memtoreg),//
.aluop(aluop),//
.jal_if(jal_if),//

.regwritedst_E(regwritedst_E),//
.alusrc_E(alusrc_E),//
.memwrite_E(memwrite_E),//
.memtoreg_E(memtoreg_E),//
.regwrite_E(regwrite_E),//
.aluop_E(aluop_E),//
.jal_if_E(jal_if_E),

.PC_D_IN(pc_F_OUT), //
.IR_D_IN(ir_F_OUT), //
.IMM_D_IN(extresult),//
.R1_D_IN(r1_D_IN), //
.R2_D_IN(r2_D_IN), //

.IMM_D_OUT(imm_D_OUT),//
.PC_D_OUT(pc_D_OUT), //
.R1_D_OUT(r1_D_OUT), //
.R2_D_OUT(r2_D_OUT), //
.IR_D_OUT(ir_D_OUT)//
);
////////////////////////////////////E
ALU alu (
.aluop(aluop_E), //
.A(aluA), //
.B(aluB), //
.result(aluresult), //
.overflow(overflow) //
);
MULDIV muldiv(
.clk(clk),//
.reset(reset),//
.op(ir_D_OUT[2:0]),//
.inster(ir_D_OUT),//
.A(aluA),//
.B(aluB),//
.HI_OUT(HI),
.LO_OUT(LO),
.busy(busy)
);


E_REG e_reg (
.clk(clk), //
.reset(reset), //
.IR_E_IN(ir_D_OUT),
.IR_E_OUT(ir_E_OUT),

.memwrite(memwrite_E),//
.memtoreg(memtoreg_E),//
.regwrite(regwrite_E),//

.memwrite_M(memwrite_M),//
.memtoreg_M(memtoreg_M),//
.regwrite_M(regwrite_M),//

.PC_E_IN(pc_D_OUT), //
.AO_E_IN(ao_E_IN), //
.WD_E_IN(wd_E_IN), //
.WA_E_IN(wa_E_IN), //
.PC_E_OUT(pc_E_OUT), //
.AO_E_OUT(ao_E_OUT), //
.WD_E_OUT(wd_E_OUT), //
.WA_E_OUT(wa_E_OUT)//
);
/////////////////////////////////M

LOADEXT loadext (
.ir(ir_E_OUT),
.addr(ao_E_OUT),
.memtoreg(memtoreg_M),
.memwrite(memwrite_M),
.memoutdata(memoutdata),
.memwrite_databyteen(data_byteen_IN),
.extmemoutdata(extmemoutdata),
.wd_sll(wd_sll)
);
M_REG m_reg (
.clk(clk), //
.reset(reset), //

.memtoreg(memtoreg_M),//
.regwrite(regwrite_M),//

.memtoreg_W(memtoreg_W),//
.regwrite_W(regwrite_W),//

.AO_M_IN(ao_E_OUT), //
.MD_M_IN(md_M_IN), //
.WA_M_IN(wa_E_OUT),//
.PC_M_IN(pc_E_OUT), //
.AO_M_OUT(ao_M_OUT), //
.MD_M_OUT(md_M_OUT), //
.WA_M_OUT(wa_M_OUT),//
.PC_M_OUT(pc_M_OUT)//
);

/////////////////////////////////W

endmodule

AT法详表:

指令 T_use E_T_new M_T_new W_T_new
ADD/SUB/OR/AND/SLT/SLTU/ADDI/ORI/ANDI 1 1 0 0
LW/LB/LH/LBU/LHU 1 2 1 0
SW/SB/SH 1 (特殊) 0 0 0
BEQ/BNE 0 0 0 0
JR 0 0 0 0
LUI INF 1 0 0
J INF 0 0 0
JAL INF 1(用aluresult传入pc+8) 0 0
NOP INF 0 0 0
MFHI/MFLO(regwrite) INF 1 0 0
MTHI/MTLO 1 0 0 0
MULT/MULTU/DIV/DIVU 1 0 0 0
MFC0 INF 2 1 0
MTC0 2(魔改) 0 0 0

对于sw

如果sw处于E级,lw处于M级,会产生write addr无法正确转发的错误,控制sw的T_use为1

用grf魔改端口实现实时输出真实值,为上机做准备。

1
2
3
4
5
if (memwrite_M!==4'b0000) begin
memdata_sel = 0;//normal 1->grfextrain=>rdextra
end else begin
memdata_sel = 0;//normal
end

对于MULT/MULTU/DIV/DIVU

通过busy信号,阻塞D级的MULT/MULTU/DIV/DIVU/MTHI/MTLO/MFHI/MFLO

乘法周期为5,除法周期为10.

对于MTC0:

控制其T_use和lw一样而不阻塞,魔改grf保证转发正确。

13.mips_tb

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
`timescale 1ns/1ps

module mips_txt;

reg clk;
reg reset;

wire [31:0] i_inst_addr;
wire [31:0] i_inst_rdata;

wire [31:0] m_data_addr;
wire [31:0] m_data_rdata;
wire [31:0] m_data_wdata;
wire [3 :0] m_data_byteen;

wire [31:0] m_inst_addr;

wire w_grf_we;
wire [4:0] w_grf_addr;
wire [31:0] w_grf_wdata;

wire [31:0] w_inst_addr;

mips uut(
.clk(clk),
.reset(reset),

.i_inst_addr(i_inst_addr),
.i_inst_rdata(i_inst_rdata),

.m_data_addr(m_data_addr),
.m_data_rdata(m_data_rdata),
.m_data_wdata(m_data_wdata),
.m_data_byteen(m_data_byteen),

.m_inst_addr(m_inst_addr),

.w_grf_we(w_grf_we),
.w_grf_addr(w_grf_addr),
.w_grf_wdata(w_grf_wdata),

.w_inst_addr(w_inst_addr)
);

integer i;
reg [31:0] fixed_addr;
reg [31:0] fixed_wdata;
reg [31:0] data[0:4095];
reg [31:0] inst[0:4095];

assign m_data_rdata = data[m_data_addr >> 2];
assign i_inst_rdata = inst[(i_inst_addr - 32'h3000) >> 2];

initial begin
$readmemh("code.txt", inst);
for (i = 0; i < 4096; i = i + 1) data[i] <= 0;
end

initial begin
clk = 0;
reset = 1;
#20 reset = 0;
end

always @(*) begin
fixed_wdata = data[m_data_addr >> 2];
fixed_addr = m_data_addr & 32'hfffffffc;
if (m_data_byteen[3]) fixed_wdata[31:24] = m_data_wdata[31:24];
if (m_data_byteen[2]) fixed_wdata[23:16] = m_data_wdata[23:16];
if (m_data_byteen[1]) fixed_wdata[15: 8] = m_data_wdata[15: 8];
if (m_data_byteen[0]) fixed_wdata[7 : 0] = m_data_wdata[7 : 0];
end

always @(posedge clk) begin
if (reset) for (i = 0; i < 4096; i = i + 1) data[i] <= 0;
else if (|m_data_byteen) begin
data[fixed_addr >> 2] <= fixed_wdata;
$display("@%h: *%h <= %h", m_inst_addr, fixed_addr, fixed_wdata);
end
end

always @(posedge clk) begin
if (~reset) begin
if (w_grf_we && (w_grf_addr != 0)) begin
$display("@%h: $%d <= %h", w_inst_addr, w_grf_addr, w_grf_wdata);
end
end
end

always #2 clk <= ~clk;

endmodule

测试方案

通过mars编写汇编程序,编写相关测试代码,将mars生成的机器码通过文件导入到verilog,通过向输出中间数据,和mars进行对拍,以此验证各代码是否运行正确。

通过at法表的观察,需要测试的有阻塞的四种情况,根据表格将所有组合搭配测试。

对于转发,将所有需要读寄存器的指令,以0,1,2的间隔在后方加入相同寄存器的写寄存器指令,即可完成转发的测试。

思考题

  1. 乘除法将结果存入HI/LO寄存器,与其他指令无关联,如果不分离,由于乘除法法的时间远大于其余指令,周期的时长将会大大加长,分离出独立的部件可以不阻塞其余指令,加快速度,同时HI/LO寄存器的读写行为与寄存器堆并不一致,读HI/LO寄存器需要在E级完成,相当于写grf寄存器,所以需要独立。

  2. 乘法:首先CPU会初始化三个通用寄存器用来存放被乘数,乘数,部分积的二进制数,部分积寄存器初始化为0,然后在判断乘数寄存器的低位是低电平还是高电平(0/1):如果为0则将乘数寄存器右移一位,同时将部分积寄存器也右移一位,在位移时遵循计算机位移规则,乘数寄存器低位溢出的一位丢弃,部分积寄存器低位溢出的一位填充到乘数寄存器的高位,同时部分积寄存器高位补0,如果为1则将部分积寄存器加上被乘数寄存器,再进行移位操作。 当所有乘数位处理完成后部分积寄存器做高位乘数寄存器做低位就是最终乘法结果。

    除法:首先CPU会初始化三个寄存器,用来存放被除数,除数,部分商。余数(被除数与除数比较的结果)放到被除数的有效高位上。CPU做除法时和做乘法时是相反的,乘法是右移,除法是左移,乘法做的是加法,除法做的是减法。 首先CPU会把被除数bit位与除数bit位对齐,然后在让对齐的被除数与除数比较(双符号位判断)。 这里说一下什么是双符号位判断: 比如01-10=11(前面的1是符号位) 1-2=-1 计算机通过符号位和后一位的bit位来判断大于和小于,那么01-10=11 就说明01小于10,如果得数为01就代表大于,如果得数为00代表等于。 如果得数大于或等于则将比较的结果放到被除数的有效高位上然后在商寄存器上商:1 并向后多看一位 (上商就是将商的最低位左移1位腾出商寄存器最低位上新的商) 如果得数小于则上商:0 并向后多看一位 然后循环做以上操作当所有的被除数都处理完后,商做结果被除数里面的值就是余数。

  3. 通过count来计数count大于1时将busy置1且count–,当判断为乘除指令时start置1,此时将count初始化,完成周期阻塞。

    只有busy为1 ,且判断D级为乘除指令才会阻塞。

    1
    2
    3
    4
    5
    6
    7
    HCTRL:

    if (use_less_E_new || use_less_M_new || use_less_W_new||(BUSY===1&&(muldivtype===1||mftype===1||mttype===1))) begin
    PC_BLOCK = 1;
    D_CLEAR = 1;
    F_BLOCK = 1;
    end

    4.通过独热编码控制读写字节,能够清晰地看出那些字节被读写,便于使用和修改,同时将读写指令统一为相同的格式,不同指令只需计算其字节控制信号即可,无需进行特判。

    5.按字节读获取的实际上是一个字,只不过最后截取并扩展了位,按字节写写入的实际上也是一个字,内部进行了修正,将无关字节进行了读取。

    当读写的字节十分集中,大部分在同一字内,按字读写要快于按字节,反之则按字节更快.

    6.在处理冒险时,先将指令大概分类

    1
    2
    3
    4
    5
    6
    7
    8
    9
    assign alutype=((opcode_F==`OPCODE0)&&(func_F==`ADD||func_F==`SUB||func_F==`AND||func_F==`OR||func_F==`SLT||func_F==`SLTU))||
    (opcode_F==`ORI)||(opcode_F==`ADDI)||(opcode_F==`ANDI);

    assign memtype=(opcode_F==`SW)||(opcode_F==`SB)||(opcode_F==`SH)||
    (opcode_F==`LW)||(opcode_F==`LB)||(opcode_F==`LH)||(opcode_F==`LBU)||(opcode_F==`LHU);

    assign muldivtype=((opcode_F==`OPCODE0)&&(func_F==`MULT||func_F==`MULTU||func_F==`DIV||func_F==`DIVU));
    assign mftype=((opcode_F==`OPCODE0)&&(func_F==`MFHI||func_F==`MFLO));
    assign mttype=((opcode_F==`OPCODE0)&&(func_F==`MTHI||func_F==`MTLO));

    在下方判断类型时可以直接使用,无需单独判断。

    而对于T_new的判断,采用控制信号的方式

    1
    2
    3
    4
    5
    6
    7
    if ((memtoreg_E!==4'b0000) && (regwrite_E!==4'b0000)) begin
    E_T_new = 2;
    end else if ( ((memtoreg_E===4'b0000) && regwrite_E)||mftype )begin
    E_T_new = 1;
    end else begin
    E_T_new = 0;
    end

    抽象了指令,这样新添加指令只需CTRL新添对应指令即可自动完成。

    对于字节使能信号,可观察出其低两位实际上是字节的移位数,可以统一管理。

    1
    2
    3
    4
    5
    6
    assign byteen=(memtoreg === 4'b1111) ? {4'b1111} :
    (memtoreg === 4'b0011) ? {4'b0011<<{addr[1],1'b0}} :
    (memtoreg === 4'b0001) ? {4'b0001<<addr[1:0]} :4'b0000;
    assign memwrite_databyteen=(memwrite === 4'b1111) ? {4'b1111} :
    (memwrite === 4'b0011) ? {4'b0011<<{addr[1],1'b0}} :
    (memwrite === 4'b0001) ? {4'b0001<<addr[1:0]} :4'b0000;

    对于CTRL控制信号,采用命令驱动,但是将同类型信号统一,使其模块化,便于新添和修改。

    1
    2
    3
    4
    5
    6
    7
    8
    `JAL: begin
    {regwrite , regwritedst} = {1'b1,2'b10};//rt,rd,31
    {memwrite , memtoreg} = {4'b0,4'b0};
    {beq_if , j_if , jal_if , jr_if, bne_if} = {1'b0,1'b0,1'b1,1'b0,1'b0};
    extop = 2'b00; //unsigned,signed,lui
    alusrc = 1'b0; //grf,imm
    aluop = 4'b0000;
    end

附录:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
0x0000000000000000:  34 00 1E C2    ori   $zero, $zero, 0x1ec2
0x0000000000000004: 34 01 12 3A ori $at, $zero, 0x123a
0x0000000000000008: 34 02 25 FB ori $v0, $zero, 0x25fb
0x000000000000000c: 34 03 22 0D ori $v1, $zero, 0x220d
0x0000000000000010: 34 04 09 30 ori $a0, $zero, 0x930
0x0000000000000014: 34 05 15 66 ori $a1, $zero, 0x1566
0x0000000000000018: 34 06 21 CD ori $a2, $zero, 0x21cd
0x000000000000001c: 34 07 0E BC ori $a3, $zero, 0xebc
0x0000000000000020: 34 08 1E A8 ori $t0, $zero, 0x1ea8
0x0000000000000024: 34 09 12 78 ori $t1, $zero, 0x1278
0x0000000000000028: 34 0A 1E 24 ori $t2, $zero, 0x1e24
0x000000000000002c: 34 0B 0E 6E ori $t3, $zero, 0xe6e
0x0000000000000030: 34 0C 05 E2 ori $t4, $zero, 0x5e2
0x0000000000000034: 34 0D 21 34 ori $t5, $zero, 0x2134
0x0000000000000038: 34 0E 23 AB ori $t6, $zero, 0x23ab
0x000000000000003c: 34 0F 14 5F ori $t7, $zero, 0x145f
0x0000000000000040: 34 10 20 42 ori $s0, $zero, 0x2042
0x0000000000000044: 34 11 1E 1C ori $s1, $zero, 0x1e1c
0x0000000000000048: 34 12 1F 59 ori $s2, $zero, 0x1f59
0x000000000000004c: 34 13 10 98 ori $s3, $zero, 0x1098
0x0000000000000050: 34 14 14 66 ori $s4, $zero, 0x1466
0x0000000000000054: 34 15 07 2A ori $s5, $zero, 0x72a
0x0000000000000058: 34 16 03 8F ori $s6, $zero, 0x38f
0x000000000000005c: 34 17 24 F0 ori $s7, $zero, 0x24f0
0x0000000000000060: 34 18 0B 08 ori $t8, $zero, 0xb08
0x0000000000000064: 34 19 1F 60 ori $t9, $zero, 0x1f60
0x0000000000000068: 34 1A 19 F6 ori $k0, $zero, 0x19f6
0x000000000000006c: 34 1B 23 E9 ori $k1, $zero, 0x23e9
0x0000000000000070: 34 1C 0F 36 ori $gp, $zero, 0xf36
0x0000000000000074: 34 1D 17 96 ori $sp, $zero, 0x1796
0x0000000000000078: 34 1E 10 5E ori $fp, $zero, 0x105e
0x000000000000007c: 34 1F 02 1B ori $ra, $zero, 0x21b
0x0000000000000080: 00 66 88 25 or $s1, $v1, $a2
0x0000000000000084: 00 00 00 00 nop
0x0000000000000088: 36 39 63 3F ori $t9, $s1, 0x633f
0x000000000000008c: 00 00 00 00 nop
0x0000000000000090: 03 39 68 20 add $t5, $t9, $t9
0x0000000000000094: 00 00 00 00 nop
0x0000000000000098: 00 00 00 00 nop
0x000000000000009c: 35 AE 1F 1E ori $t6, $t5, 0x1f1e
0x00000000000000a0: 00 00 00 00 nop
0x00000000000000a4: 35 C8 2F 70 ori $t0, $t6, 0x2f70
0x00000000000000a8: 00 00 00 00 nop
0x00000000000000ac: 01 08 40 22 sub $t0, $t0, $t0
0x00000000000000b0: 21 EE 02 40 addi $t6, $t7, 0x240
0x00000000000000b4: 01 08 20 25 or $a0, $t0, $t0
0x00000000000000b8: 00 00 00 00 nop
0x00000000000000bc: 30 58 03 7E andi $t8, $v0, 0x37e
0x00000000000000c0: 00 84 D0 20 add $k0, $a0, $a0
0x00000000000000c4: 31 A0 03 DD andi $zero, $t5, 0x3dd
0x00000000000000c8: 03 5A 80 20 add $s0, $k0, $k0
0x00000000000000cc: 02 10 00 19 multu $s0, $s0
0x00000000000000d0: 02 94 A8 2A slt $s5, $s4, $s4
0x00000000000000d4: 02 B5 40 24 and $t0, $s5, $s5
0x00000000000000d8: 23 16 02 5A addi $s6, $t8, 0x25a
0x00000000000000dc: 35 00 B1 56 ori $zero, $t0, 0xb156
0x00000000000000e0: 37 2D 24 71 ori $t5, $t9, 0x2471
0x00000000000000e4: 35 B1 35 68 ori $s1, $t5, 0x3568
0x00000000000000e8: 32 3A 01 CF andi $k0, $s1, 0x1cf
0x00000000000000ec: 00 00 00 00 nop
0x00000000000000f0: 02 31 00 1A div $zero, $s1, $s1
0x00000000000000f4: 03 39 C8 25 or $t9, $t9, $t9
0x00000000000000f8: 03 39 40 2B sltu $t0, $t9, $t9
0x00000000000000fc: 00 00 00 00 nop
0x0000000000000100: 35 08 47 B4 ori $t0, $t0, 0x47b4
0x0000000000000104: 00 00 00 00 nop
0x0000000000000108: 35 0F E1 33 ori $t7, $t0, 0xe133
0x000000000000010c: 01 EF 00 19 multu $t7, $t7
0x0000000000000110: 02 52 00 18 mult $s2, $s2
0x0000000000000114: 00 00 00 00 nop
0x0000000000000118: 00 00 00 00 nop
0x000000000000011c: 36 43 B4 D3 ori $v1, $s2, 0xb4d3
0x0000000000000120: 00 00 00 00 nop
0x0000000000000124: 20 70 00 1A addi $s0, $v1, 0x1a
0x0000000000000128: 34 63 CE 4C ori $v1, $v1, 0xce4c
0x000000000000012c: 00 63 68 22 sub $t5, $v1, $v1
0x0000000000000130: 35 AC DF CB ori $t4, $t5, 0xdfcb
0x0000000000000134: 00 00 00 00 nop
0x0000000000000138: 35 83 20 9F ori $v1, $t4, 0x209f
0x000000000000013c: 00 00 00 00 nop
0x0000000000000140: 34 72 EC 79 ori $s2, $v1, 0xec79
0x0000000000000144: 36 45 FD 8C ori $a1, $s2, 0xfd8c
0x0000000000000148: 3C 17 03 90 lui $s7, 0x390
0x000000000000014c: 00 00 00 00 nop
0x0000000000000150: 00 A5 58 20 add $t3, $a1, $a1
0x0000000000000154: 01 6B 38 25 or $a3, $t3, $t3
0x0000000000000158: 34 E6 D3 BC ori $a2, $a3, 0xd3bc
0x000000000000015c: 00 C6 50 25 or $t2, $a2, $a2
0x0000000000000160: 35 D3 01 D6 ori $s3, $t6, 0x1d6
0x0000000000000164: 00 00 00 00 nop
0x0000000000000168: 01 4A F8 24 and $ra, $t2, $t2
0x000000000000016c: 3C 03 00 7A lui $v1, 0x7a
0x0000000000000170: 37 FC E9 AE ori $gp, $ra, 0xe9ae
0x0000000000000174: 00 00 00 00 nop
0x0000000000000178: 00 00 00 00 nop
0x000000000000017c: 37 8C 5C DE ori $t4, $gp, 0x5cde
0x0000000000000180: 01 8C 18 20 add $v1, $t4, $t4
0x0000000000000184: 00 00 00 00 nop
0x0000000000000188: 00 63 18 24 and $v1, $v1, $v1
0x000000000000018c: 00 63 70 2B sltu $t6, $v1, $v1
0x0000000000000190: 00 00 00 00 nop
0x0000000000000194: 01 CE B0 25 or $s6, $t6, $t6
0x0000000000000198: 00 00 00 00 nop
0x000000000000019c: 36 D5 88 B0 ori $s5, $s6, 0x88b0
0x00000000000001a0: 00 00 00 00 nop
0x00000000000001a4: 36 AB 1E 6E ori $t3, $s5, 0x1e6e
0x00000000000001a8: 34 26 03 32 ori $a2, $at, 0x332
0x00000000000001ac: 01 6B 10 22 sub $v0, $t3, $t3
0x00000000000001b0: 31 25 03 39 andi $a1, $t1, 0x339
0x00000000000001b4: 00 42 10 2A slt $v0, $v0, $v0
0x00000000000001b8: 34 59 08 19 ori $t9, $v0, 0x819
0x00000000000001bc: 00 00 00 00 nop
0x00000000000001c0: 03 39 00 1B divu $zero, $t9, $t9
0x00000000000001c4: 32 19 01 C0 andi $t9, $s0, 0x1c0
0x00000000000001c8: 00 00 00 00 nop
0x00000000000001cc: 00 F2 68 20 add $t5, $a3, $s2
0x00000000000001d0: 00 00 00 00 nop
0x00000000000001d4: 00 00 00 00 nop
0x00000000000001d8: 35 A8 87 52 ori $t0, $t5, 0x8752
0x00000000000001dc: 35 08 69 0C ori $t0, $t0, 0x690c
0x00000000000001e0: 00 00 00 00 nop
0x00000000000001e4: 35 14 73 5E ori $s4, $t0, 0x735e
0x00000000000001e8: 3C 18 01 2C lui $t8, 0x12c
0x00000000000001ec: 36 85 A1 3B ori $a1, $s4, 0xa13b
0x00000000000001f0: 00 00 28 12 mflo $a1
0x00000000000001f4: 34 B3 92 6F ori $s3, $a1, 0x926f
0x00000000000001f8: 00 00 00 00 nop
0x00000000000001fc: 36 78 36 94 ori $t8, $s3, 0x3694
0x0000000000000200: 37 13 F6 08 ori $s3, $t8, 0xf608
0x0000000000000204: 22 32 03 8A addi $s2, $s1, 0x38a
0x0000000000000208: 00 00 00 00 nop
0x000000000000020c: 36 71 49 C2 ori $s1, $s3, 0x49c2
0x0000000000000210: 3C 00 00 1D lui $zero, 0x1d
0x0000000000000214: 00 00 00 00 nop
0x0000000000000218: 02 31 B8 24 and $s7, $s1, $s1
0x000000000000021c: 00 00 00 00 nop
0x0000000000000220: 00 00 00 00 nop
0x0000000000000224: 02 F7 88 20 add $s1, $s7, $s7
0x0000000000000228: 00 00 00 00 nop
0x000000000000022c: 36 3F 67 26 ori $ra, $s1, 0x6726
0x0000000000000230: 03 FF 58 24 and $t3, $ra, $ra
0x0000000000000234: 00 00 00 00 nop
0x0000000000000238: 00 00 00 00 nop
0x000000000000023c: 01 6B A8 22 sub $s5, $t3, $t3
0x0000000000000240: 00 00 00 00 nop
0x0000000000000244: 36 BE BD 8B ori $fp, $s5, 0xbd8b
0x0000000000000248: 00 00 00 00 nop
0x000000000000024c: 37 CC FE 84 ori $t4, $fp, 0xfe84
0x0000000000000250: 36 6D 01 4B ori $t5, $s3, 0x14b
0x0000000000000254: 01 8C 00 22 sub $zero, $t4, $t4
0x0000000000000258: 33 FB 01 72 andi $k1, $ra, 0x172
0x000000000000025c: 3C 0C 01 B4 lui $t4, 0x1b4
0x0000000000000260: 36 71 F7 67 ori $s1, $s3, 0xf767
0x0000000000000264: 36 30 BD 82 ori $s0, $s1, 0xbd82
0x0000000000000268: 23 D0 02 51 addi $s0, $fp, 0x251
0x000000000000026c: 02 10 70 20 add $t6, $s0, $s0
0x0000000000000270: 00 00 00 00 nop
0x0000000000000274: 00 00 00 00 nop
0x0000000000000278: 35 D7 1F 6F ori $s7, $t6, 0x1f6f
0x000000000000027c: 35 D7 00 F0 ori $s7, $t6, 0xf0
0x0000000000000280: 00 00 C8 10 mfhi $t9
0x0000000000000284: 03 39 D0 2A slt $k0, $t9, $t9
0x0000000000000288: 00 00 00 00 nop
0x000000000000028c: 00 00 00 00 nop
0x0000000000000290: 22 76 00 1D addi $s6, $s3, 0x1d
0x0000000000000294: 35 BB 04 66 ori $k1, $t5, 0x466
0x0000000000000298: 03 60 00 11 mthi $k1
0x000000000000029c: 03 9C D0 22 sub $k0, $gp, $gp
0x00000000000002a0: 00 00 08 12 mflo $at
0x00000000000002a4: 34 3C B2 B2 ori $gp, $at, 0xb2b2
0x00000000000002a8: 00 00 00 00 nop
0x00000000000002ac: 22 B6 02 30 addi $s6, $s5, 0x230
0x00000000000002b0: 37 87 D3 F5 ori $a3, $gp, 0xd3f5
0x00000000000002b4: 00 00 00 00 nop
0x00000000000002b8: 00 00 00 00 nop
0x00000000000002bc: 00 E0 00 11 mthi $a3
0x00000000000002c0: 01 4A 00 18 mult $t2, $t2
0x00000000000002c4: 00 00 00 00 nop
0x00000000000002c8: 00 00 00 00 nop
0x00000000000002cc: 36 BF 02 F6 ori $ra, $s5, 0x2f6
0x00000000000002d0: 37 F5 7F F1 ori $s5, $ra, 0x7ff1
0x00000000000002d4: 30 18 02 91 andi $t8, $zero, 0x291
0x00000000000002d8: 3C 1C 00 EE lui $gp, 0xee
0x00000000000002dc: 02 B5 B8 20 add $s7, $s5, $s5
0x00000000000002e0: 02 F7 20 20 add $a0, $s7, $s7
0x00000000000002e4: 00 00 00 12 mflo $zero
0x00000000000002e8: 01 60 00 11 mthi $t3
0x00000000000002ec: 00 C6 D8 24 and $k1, $a2, $a2
0x00000000000002f0: 00 00 00 00 nop
0x00000000000002f4: 00 00 00 00 nop
0x00000000000002f8: 00 00 C0 12 mflo $t8
0x00000000000002fc: 03 18 C0 25 or $t8, $t8, $t8
0x0000000000000300: 37 1F 46 5F ori $ra, $t8, 0x465f
0x0000000000000304: 03 FF 18 22 sub $v1, $ra, $ra
0x0000000000000308: 00 00 00 00 nop
0x000000000000030c: 35 37 02 31 ori $s7, $t1, 0x231
0x0000000000000310: 00 63 A0 2A slt $s4, $v1, $v1
0x0000000000000314: 00 00 00 00 nop
0x0000000000000318: 36 98 A1 F7 ori $t8, $s4, 0xa1f7
0x000000000000031c: 00 00 00 00 nop
0x0000000000000320: 20 A3 01 E2 addi $v1, $a1, 0x1e2
0x0000000000000324: 03 18 00 19 multu $t8, $t8
0x0000000000000328: 00 00 00 00 nop
0x000000000000032c: 36 96 9D E9 ori $s6, $s4, 0x9de9
0x0000000000000330: 20 35 02 E4 addi $s5, $at, 0x2e4
0x0000000000000334: 02 D6 40 22 sub $t0, $s6, $s6
0x0000000000000338: 00 00 00 00 nop
0x000000000000033c: 35 11 88 01 ori $s1, $t0, 0x8801
0x0000000000000340: 00 00 00 00 nop
0x0000000000000344: 32 2F 02 EC andi $t7, $s1, 0x2ec
0x0000000000000348: 36 34 B2 D7 ori $s4, $s1, 0xb2d7
0x000000000000034c: 02 94 E8 20 add $sp, $s4, $s4
0x0000000000000350: 00 00 00 00 nop
0x0000000000000354: 37 B7 A8 A0 ori $s7, $sp, 0xa8a0
0x0000000000000358: 22 1F 01 D9 addi $ra, $s0, 0x1d9
0x000000000000035c: 00 00 00 00 nop
0x0000000000000360: 02 F7 68 24 and $t5, $s7, $s7
0x0000000000000364: 01 AD 98 2B sltu $s3, $t5, $t5
0x0000000000000368: 00 00 00 00 nop
0x000000000000036c: 00 00 00 00 nop
0x0000000000000370: 36 74 31 50 ori $s4, $s3, 0x3150
0x0000000000000374: 02 94 68 20 add $t5, $s4, $s4
0x0000000000000378: 00 00 00 00 nop
0x000000000000037c: 35 BD 37 26 ori $sp, $t5, 0x3726
0x0000000000000380: 03 BD 00 18 mult $sp, $sp
0x0000000000000384: 22 00 01 7F addi $zero, $s0, 0x17f
0x0000000000000388: 35 34 D7 6C ori $s4, $t1, 0xd76c
0x000000000000038c: 3C 1C 01 E9 lui $gp, 0x1e9
0x0000000000000390: 3C 06 03 36 lui $a2, 0x336
0x0000000000000394: 02 94 E0 2A slt $gp, $s4, $s4
0x0000000000000398: 22 C2 01 0B addi $v0, $s6, 0x10b
0x000000000000039c: 37 9A 25 09 ori $k0, $gp, 0x2509
0x00000000000003a0: 37 4F B2 40 ori $t7, $k0, 0xb240
0x00000000000003a4: 00 00 00 00 nop
0x00000000000003a8: 00 00 00 00 nop
0x00000000000003ac: 35 E4 9D 6D ori $a0, $t7, 0x9d6d
0x00000000000003b0: 00 00 10 12 mflo $v0
0x00000000000003b4: 34 4F BA 22 ori $t7, $v0, 0xba22
0x00000000000003b8: 00 00 00 00 nop
0x00000000000003bc: 35 81 03 A3 ori $at, $t4, 0x3a3
0x00000000000003c0: 01 EF A0 22 sub $s4, $t7, $t7
0x00000000000003c4: 02 94 F0 24 and $fp, $s4, $s4
0x00000000000003c8: 00 00 00 00 nop
0x00000000000003cc: 00 00 00 00 nop
0x00000000000003d0: 03 DE E8 2A slt $sp, $fp, $fp
0x00000000000003d4: 21 1C 01 E7 addi $gp, $t0, 0x1e7
0x00000000000003d8: 00 00 00 00 nop
0x00000000000003dc: 37 BB F0 78 ori $k1, $sp, 0xf078
0x00000000000003e0: 35 42 01 28 ori $v0, $t2, 0x128
0x00000000000003e4: 37 7E 29 5C ori $fp, $k1, 0x295c
0x00000000000003e8: 00 00 00 00 nop
0x00000000000003ec: 37 CA BA 9A ori $t2, $fp, 0xba9a
0x00000000000003f0: 01 4A C8 22 sub $t9, $t2, $t2
0x00000000000003f4: 30 65 01 2F andi $a1, $v1, 0x12f
0x00000000000003f8: 03 39 00 24 and $zero, $t9, $t9
0x00000000000003fc: 00 00 00 00 nop
0x0000000000000400: 00 00 00 00 nop
0x0000000000000404: 36 C2 29 7C ori $v0, $s6, 0x297c
0x0000000000000408: 00 00 00 00 nop
0x000000000000040c: 00 42 18 2A slt $v1, $v0, $v0
0x0000000000000410: 34 7F 3F D9 ori $ra, $v1, 0x3fd9
0x0000000000000414: 3C 1D 01 B9 lui $sp, 0x1b9
0x0000000000000418: 37 F6 04 FB ori $s6, $ra, 0x4fb
0x000000000000041c: 36 C1 FA A0 ori $at, $s6, 0xfaa0
0x0000000000000420: 00 00 00 00 nop
0x0000000000000424: 00 21 E8 22 sub $sp, $at, $at
0x0000000000000428: 00 00 00 00 nop
0x000000000000042c: 37 A9 38 30 ori $t1, $sp, 0x3830
0x0000000000000430: 00 00 00 00 nop
0x0000000000000434: 00 00 00 00 nop
0x0000000000000438: 01 29 A8 25 or $s5, $t1, $t1
0x000000000000043c: 36 8D 03 5D ori $t5, $s4, 0x35d
0x0000000000000440: 00 00 00 00 nop
0x0000000000000444: 36 AC C0 AB ori $t4, $s5, 0xc0ab
0x0000000000000448: 00 00 00 00 nop
0x000000000000044c: 01 8C 80 24 and $s0, $t4, $t4
0x0000000000000450: 22 C2 02 74 addi $v0, $s6, 0x274
0x0000000000000454: 00 00 00 00 nop
0x0000000000000458: 36 01 B9 CF ori $at, $s0, 0xb9cf
0x000000000000045c: 00 00 00 00 nop
0x0000000000000460: 00 00 00 00 nop
0x0000000000000464: 00 21 20 22 sub $a0, $at, $at
0x0000000000000468: 00 00 00 00 nop
0x000000000000046c: 34 95 22 18 ori $s5, $a0, 0x2218
0x0000000000000470: 02 B5 A0 24 and $s4, $s5, $s5
0x0000000000000474: 00 00 00 00 nop
0x0000000000000478: 00 00 00 00 nop
0x000000000000047c: 02 94 F0 2A slt $fp, $s4, $s4
0x0000000000000480: 37 CC 8A E3 ori $t4, $fp, 0x8ae3
0x0000000000000484: 35 81 CF DC ori $at, $t4, 0xcfdc
0x0000000000000488: 3C 1F 01 10 lui $ra, 0x110
0x000000000000048c: 34 39 50 C1 ori $t9, $at, 0x50c1
0x0000000000000490: 34 94 02 3C ori $s4, $a0, 0x23c
0x0000000000000494: 37 2A 01 C1 ori $t2, $t9, 0x1c1
0x0000000000000498: 35 5B 3B 7F ori $k1, $t2, 0x3b7f
0x000000000000049c: 00 00 00 00 nop
0x00000000000004a0: 37 7C 72 CC ori $gp, $k1, 0x72cc
0x00000000000004a4: 03 9C 58 24 and $t3, $gp, $gp
0x00000000000004a8: 00 00 00 00 nop
0x00000000000004ac: 00 00 00 00 nop
0x00000000000004b0: 35 65 77 A7 ori $a1, $t3, 0x77a7
0x00000000000004b4: 30 5C 00 E4 andi $gp, $v0, 0xe4
0x00000000000004b8: 35 7F 01 B7 ori $ra, $t3, 0x1b7
0x00000000000004bc: 34 AC D7 DC ori $t4, $a1, 0xd7dc
0x00000000000004c0: 00 00 00 00 nop
0x00000000000004c4: 00 00 00 00 nop
0x00000000000004c8: 01 8C C8 2A slt $t9, $t4, $t4
0x00000000000004cc: 3C 0D 01 C4 lui $t5, 0x1c4
0x00000000000004d0: 00 00 00 00 nop
0x00000000000004d4: 37 3E 53 34 ori $fp, $t9, 0x5334
0x00000000000004d8: 00 00 00 00 nop
0x00000000000004dc: 00 00 00 00 nop
0x00000000000004e0: 37 DE B4 D7 ori $fp, $fp, 0xb4d7
0x00000000000004e4: 00 00 00 00 nop
0x00000000000004e8: 34 D8 01 CB ori $t8, $a2, 0x1cb
0x00000000000004ec: 03 DE 00 18 mult $fp, $fp
0x00000000000004f0: 36 9D 29 6A ori $sp, $s4, 0x296a
0x00000000000004f4: 3C 1D 02 34 lui $sp, 0x234
0x00000000000004f8: 00 00 00 00 nop
0x00000000000004fc: 37 A7 FC 76 ori $a3, $sp, 0xfc76
0x0000000000000500: 00 00 00 00 nop
0x0000000000000504: 00 E0 00 11 mthi $a3
0x0000000000000508: 36 E9 76 9D ori $t1, $s7, 0x769d
0x000000000000050c: 36 8E 03 94 ori $t6, $s4, 0x394
0x0000000000000510: 00 00 00 00 nop
0x0000000000000514: 35 36 D8 C5 ori $s6, $t1, 0xd8c5
0x0000000000000518: 36 C0 49 81 ori $zero, $s6, 0x4981
0x000000000000051c: 31 4B 02 4B andi $t3, $t2, 0x24b
0x0000000000000520: 00 00 00 00 nop
0x0000000000000524: 35 37 80 02 ori $s7, $t1, 0x8002
0x0000000000000528: 36 E7 61 24 ori $a3, $s7, 0x6124
0x000000000000052c: 23 37 00 F9 addi $s7, $t9, 0xf9
0x0000000000000530: 00 00 00 00 nop
0x0000000000000534: 00 E7 B0 22 sub $s6, $a3, $a3
0x0000000000000538: 36 24 01 A8 ori $a0, $s1, 0x1a8
0x000000000000053c: 00 00 00 00 nop
0x0000000000000540: 02 D6 10 22 sub $v0, $s6, $s6
0x0000000000000544: 31 4C 03 AD andi $t4, $t2, 0x3ad
0x0000000000000548: 36 88 01 42 ori $t0, $s4, 0x142
0x000000000000054c: 36 EE 4C EB ori $t6, $s7, 0x4ceb
0x0000000000000550: 01 CE 88 25 or $s1, $t6, $t6
0x0000000000000554: 02 31 60 20 add $t4, $s1, $s1
0x0000000000000558: 00 00 00 00 nop
0x000000000000055c: 35 8D 95 0B ori $t5, $t4, 0x950b
0x0000000000000560: 00 00 00 00 nop
0x0000000000000564: 00 00 00 00 nop
0x0000000000000568: 35 B0 5C 55 ori $s0, $t5, 0x5c55
0x000000000000056c: 23 50 01 55 addi $s0, $k0, 0x155
0x0000000000000570: 00 00 00 00 nop
0x0000000000000574: 36 1E 97 86 ori $fp, $s0, 0x9786
0x0000000000000578: 00 00 00 00 nop
0x000000000000057c: 03 DE 00 1A div $zero, $fp, $fp
0x0000000000000580: 00 A5 60 22 sub $t4, $a1, $a1
0x0000000000000584: 00 00 00 00 nop
0x0000000000000588: 00 00 00 00 nop
0x000000000000058c: 35 96 E9 7C ori $s6, $t4, 0xe97c
0x0000000000000590: 33 8E 02 63 andi $t6, $gp, 0x263
0x0000000000000594: 00 00 00 00 nop
0x0000000000000598: 02 D6 00 18 mult $s6, $s6
0x000000000000059c: 00 00 00 00 nop
0x00000000000005a0: 36 0A EE 39 ori $t2, $s0, 0xee39
0x00000000000005a4: 35 40 F4 46 ori $zero, $t2, 0xf446
0x00000000000005a8: 00 00 00 00 nop
0x00000000000005ac: 03 95 A0 24 and $s4, $gp, $s5
0x00000000000005b0: 36 9B 08 4A ori $k1, $s4, 0x84a
0x00000000000005b4: 00 00 00 00 nop
0x00000000000005b8: 03 7B 30 2B sltu $a2, $k1, $k1
0x00000000000005bc: 00 00 00 00 nop
0x00000000000005c0: 00 00 00 00 nop
0x00000000000005c4: 00 C6 88 20 add $s1, $a2, $a2
0x00000000000005c8: 36 2F 48 B5 ori $t7, $s1, 0x48b5
0x00000000000005cc: 01 EF D8 24 and $k1, $t7, $t7
0x00000000000005d0: 3C 1A 00 1B lui $k0, 0x1b
0x00000000000005d4: 03 7B 50 22 sub $t2, $k1, $k1
0x00000000000005d8: 00 00 00 00 nop
0x00000000000005dc: 01 4A 20 22 sub $a0, $t2, $t2
0x00000000000005e0: 34 80 BF 4B ori $zero, $a0, 0xbf4b
0x00000000000005e4: 37 AF 85 7F ori $t7, $sp, 0x857f
0x00000000000005e8: 00 00 00 00 nop
0x00000000000005ec: 30 7C 03 29 andi $gp, $v1, 0x329
0x00000000000005f0: 01 EF 00 1B divu $zero, $t7, $t7
0x00000000000005f4: 01 29 00 19 multu $t1, $t1
0x00000000000005f8: 31 4C 00 44 andi $t4, $t2, 0x44
0x00000000000005fc: 36 CE 56 91 ori $t6, $s6, 0x5691
0x0000000000000600: 21 18 03 7A addi $t8, $t0, 0x37a
0x0000000000000604: 01 C0 00 13 mtlo $t6
0x0000000000000608: 23 50 01 70 addi $s0, $k0, 0x170
0x000000000000060c: 00 00 00 00 nop
0x0000000000000610: 01 6B 38 25 or $a3, $t3, $t3
0x0000000000000614: 00 00 00 00 nop
0x0000000000000618: 34 F9 93 F4 ori $t9, $a3, 0x93f4
0x000000000000061c: 37 25 14 35 ori $a1, $t9, 0x1435
0x0000000000000620: 00 00 00 00 nop
0x0000000000000624: 00 00 00 00 nop
0x0000000000000628: 00 A5 F8 25 or $ra, $a1, $a1
0x000000000000062c: 03 FF 00 19 multu $ra, $ra
0x0000000000000630: 00 00 00 00 nop
0x0000000000000634: 00 00 00 00 nop
0x0000000000000638: 36 FB E3 60 ori $k1, $s7, 0xe360
0x000000000000063c: 3C 08 02 CB lui $t0, 0x2cb
0x0000000000000640: 37 78 7D 63 ori $t8, $k1, 0x7d63
0x0000000000000644: 03 18 78 25 or $t7, $t8, $t8
0x0000000000000648: 00 00 00 00 nop
0x000000000000064c: 23 97 01 BC addi $s7, $gp, 0x1bc
0x0000000000000650: 01 EF 40 25 or $t0, $t7, $t7
0x0000000000000654: 30 77 01 1E andi $s7, $v1, 0x11e
0x0000000000000658: 01 08 E0 25 or $gp, $t0, $t0
0x000000000000065c: 37 8C B4 89 ori $t4, $gp, 0xb489
0x0000000000000660: 00 00 00 00 nop
0x0000000000000664: 35 96 87 96 ori $s6, $t4, 0x8796
0x0000000000000668: 00 00 00 00 nop
0x000000000000066c: 36 CF E0 6F ori $t7, $s6, 0xe06f
0x0000000000000670: 31 61 00 69 andi $at, $t3, 0x69
0x0000000000000674: 01 EF 88 2B sltu $s1, $t7, $t7
0x0000000000000678: 02 31 00 18 mult $s1, $s1
0x000000000000067c: 00 00 00 00 nop
0x0000000000000680: 3C 06 01 2D lui $a2, 0x12d
0x0000000000000684: 03 FF D8 24 and $k1, $ra, $ra
0x0000000000000688: 37 73 4B ED ori $s3, $k1, 0x4bed
0x000000000000068c: 37 C6 01 B1 ori $a2, $fp, 0x1b1
0x0000000000000690: 00 00 00 00 nop
0x0000000000000694: 02 73 00 1A div $zero, $s3, $s3
0x0000000000000698: 00 00 00 00 nop
0x000000000000069c: 00 00 D8 10 mfhi $k1
0x00000000000006a0: 03 7B 00 19 multu $k1, $k1
0x00000000000006a4: 00 00 00 00 nop
0x00000000000006a8: 34 93 9F 68 ori $s3, $a0, 0x9f68
0x00000000000006ac: 00 00 00 00 nop
0x00000000000006b0: 36 72 17 F8 ori $s2, $s3, 0x17f8
0x00000000000006b4: 00 00 00 00 nop
0x00000000000006b8: 3C 05 03 C6 lui $a1, 0x3c6
0x00000000000006bc: 36 46 87 41 ori $a2, $s2, 0x8741
0x00000000000006c0: 00 00 00 00 nop
0x00000000000006c4: 34 D9 F7 88 ori $t9, $a2, 0xf788
0x00000000000006c8: 30 A3 01 AD andi $v1, $a1, 0x1ad
0x00000000000006cc: 37 22 41 70 ori $v0, $t9, 0x4170
0x00000000000006d0: 00 00 00 00 nop
0x00000000000006d4: 34 47 55 21 ori $a3, $v0, 0x5521
0x00000000000006d8: 00 00 00 00 nop
0x00000000000006dc: 00 00 00 00 nop
0x00000000000006e0: 34 E7 1A 85 ori $a3, $a3, 0x1a85
0x00000000000006e4: 00 00 00 00 nop
0x00000000000006e8: 3C 10 02 2F lui $s0, 0x22f
0x00000000000006ec: 00 E7 C0 22 sub $t8, $a3, $a3
0x00000000000006f0: 37 1A ED CF ori $k0, $t8, 0xedcf
0x00000000000006f4: 00 00 00 00 nop
0x00000000000006f8: 00 00 00 00 nop
0x00000000000006fc: 37 49 10 4E ori $t1, $k0, 0x104e
0x0000000000000700: 35 36 0D 8F ori $s6, $t1, 0xd8f
0x0000000000000704: 21 96 01 41 addi $s6, $t4, 0x141
0x0000000000000708: 02 D6 30 20 add $a2, $s6, $s6
0x000000000000070c: 00 00 00 00 nop
0x0000000000000710: 00 00 00 00 nop
0x0000000000000714: 34 C8 DB AE ori $t0, $a2, 0xdbae
0x0000000000000718: 35 10 6B A6 ori $s0, $t0, 0x6ba6
0x000000000000071c: 34 78 01 0E ori $t8, $v1, 0x10e
0x0000000000000720: 36 1F 07 06 ori $ra, $s0, 0x706
0x0000000000000724: 03 FF 58 2B sltu $t3, $ra, $ra
0x0000000000000728: 22 71 01 2B addi $s1, $s3, 0x12b
0x000000000000072c: 35 65 56 C4 ori $a1, $t3, 0x56c4
0x0000000000000730: 00 00 00 00 nop
0x0000000000000734: 00 A5 60 22 sub $t4, $a1, $a1
0x0000000000000738: 20 A2 00 92 addi $v0, $a1, 0x92
0x000000000000073c: 00 00 00 00 nop
0x0000000000000740: 01 80 00 13 mtlo $t4
0x0000000000000744: 00 00 00 00 nop
0x0000000000000748: 00 00 00 00 nop
0x000000000000074c: 35 48 EE F0 ori $t0, $t2, 0xeef0
0x0000000000000750: 00 00 00 00 nop
0x0000000000000754: 31 9E 00 A7 andi $fp, $t4, 0xa7
0x0000000000000758: 01 08 00 1B divu $zero, $t0, $t0
0x000000000000075c: 31 D3 02 9A andi $s3, $t6, 0x29a
0x0000000000000760: 37 9B 27 A2 ori $k1, $gp, 0x27a2
0x0000000000000764: 31 51 01 79 andi $s1, $t2, 0x179
0x0000000000000768: 37 BD 00 43 ori $sp, $sp, 0x43
0x000000000000076c: 37 68 7B 79 ori $t0, $k1, 0x7b79
0x0000000000000770: 35 0B 02 E5 ori $t3, $t0, 0x2e5
0x0000000000000774: 35 7F 6E 82 ori $ra, $t3, 0x6e82
0x0000000000000778: 33 CB 00 0A andi $t3, $fp, 0xa
0x000000000000077c: 00 00 00 00 nop
0x0000000000000780: 03 FF D0 2B sltu $k0, $ra, $ra
0x0000000000000784: 00 00 00 00 nop
0x0000000000000788: 00 00 00 00 nop
0x000000000000078c: 00 00 20 12 mflo $a0
0x0000000000000790: 34 90 25 37 ori $s0, $a0, 0x2537
0x0000000000000794: 00 00 00 00 nop
0x0000000000000798: 00 00 00 00 nop
0x000000000000079c: 36 19 B8 00 ori $t9, $s0, 0xb800
0x00000000000007a0: 00 00 00 00 nop
0x00000000000007a4: 00 00 00 00 nop
0x00000000000007a8: 37 26 99 79 ori $a2, $t9, 0x9979
0x00000000000007ac: 00 00 00 00 nop
0x00000000000007b0: 31 0E 01 EE andi $t6, $t0, 0x1ee
0x00000000000007b4: 34 CC 57 DF ori $t4, $a2, 0x57df
0x00000000000007b8: 00 00 00 00 nop
0x00000000000007bc: 00 00 00 00 nop
0x00000000000007c0: 35 91 BE 83 ori $s1, $t4, 0xbe83
0x00000000000007c4: 00 00 00 00 nop
0x00000000000007c8: 00 00 00 00 nop
0x00000000000007cc: 02 31 08 24 and $at, $s1, $s1
0x00000000000007d0: 00 21 40 24 and $t0, $at, $at
0x00000000000007d4: 01 08 98 22 sub $s3, $t0, $t0
0x00000000000007d8: 00 00 00 00 nop
0x00000000000007dc: 23 F0 00 8A addi $s0, $ra, 0x8a
0x00000000000007e0: 02 73 78 2A slt $t7, $s3, $s3
0x00000000000007e4: 35 F8 67 D8 ori $t8, $t7, 0x67d8
0x00000000000007e8: 37 06 5A B3 ori $a2, $t8, 0x5ab3
0x00000000000007ec: 00 00 00 00 nop
0x00000000000007f0: 00 00 00 00 nop
0x00000000000007f4: 34 C3 89 61 ori $v1, $a2, 0x8961
0x00000000000007f8: 00 00 00 00 nop
0x00000000000007fc: 00 00 00 00 nop
0x0000000000000800: 00 63 38 24 and $a3, $v1, $v1
0x0000000000000804: 00 00 00 00 nop
0x0000000000000808: 00 00 00 00 nop
0x000000000000080c: 34 F5 EF 40 ori $s5, $a3, 0xef40
0x0000000000000810: 36 B0 FD 70 ori $s0, $s5, 0xfd70
0x0000000000000814: 00 00 00 00 nop
0x0000000000000818: 00 00 00 00 nop
0x000000000000081c: 02 10 80 2A slt $s0, $s0, $s0
0x0000000000000820: 00 00 00 00 nop
0x0000000000000824: 00 00 00 00 nop
0x0000000000000828: 02 10 00 18 mult $s0, $s0
0x000000000000082c: 35 BD E7 D5 ori $sp, $t5, 0xe7d5
0x0000000000000830: 31 8D 03 E2 andi $t5, $t4, 0x3e2
0x0000000000000834: 32 6B 00 B4 andi $t3, $s3, 0xb4
0x0000000000000838: 03 BD 88 25 or $s1, $sp, $sp
0x000000000000083c: 02 31 30 24 and $a2, $s1, $s1
0x0000000000000840: 30 56 03 B7 andi $s6, $v0, 0x3b7
0x0000000000000844: 3C 17 02 3F lui $s7, 0x23f
0x0000000000000848: 00 C6 00 19 multu $a2, $a2
0x000000000000084c: 00 00 00 00 nop
0x0000000000000850: 32 14 00 D3 andi $s4, $s0, 0xd3
0x0000000000000854: 36 9E 20 A7 ori $fp, $s4, 0x20a7
0x0000000000000858: 00 00 00 00 nop
0x000000000000085c: 03 C0 00 13 mtlo $fp
0x0000000000000860: 00 00 00 00 nop
0x0000000000000864: 00 00 00 00 nop
0x0000000000000868: 02 D6 00 20 add $zero, $s6, $s6
0x000000000000086c: 36 04 B9 64 ori $a0, $s0, 0xb964
0x0000000000000870: 00 00 00 00 nop
0x0000000000000874: 00 00 00 00 nop
0x0000000000000878: 34 94 84 CC ori $s4, $a0, 0x84cc
0x000000000000087c: 00 00 00 00 nop
0x0000000000000880: 00 00 00 00 nop
0x0000000000000884: 36 93 D6 6E ori $s3, $s4, 0xd66e
0x0000000000000888: 3C 0F 00 B5 lui $t7, 0xb5
0x000000000000088c: 00 00 00 00 nop
0x0000000000000890: 36 68 97 58 ori $t0, $s3, 0x9758
0x0000000000000894: 01 08 98 20 add $s3, $t0, $t0
0x0000000000000898: 00 00 00 00 nop
0x000000000000089c: 00 00 00 00 nop
0x00000000000008a0: 36 6F DB 0B ori $t7, $s3, 0xdb0b
0x00000000000008a4: 01 EF A8 20 add $s5, $t7, $t7
0x00000000000008a8: 00 00 00 00 nop
0x00000000000008ac: 00 00 00 00 nop
0x00000000000008b0: 02 B5 D8 22 sub $k1, $s5, $s5
0x00000000000008b4: 00 00 00 00 nop
0x00000000000008b8: 22 57 03 43 addi $s7, $s2, 0x343
0x00000000000008bc: 03 7B 38 25 or $a3, $k1, $k1
0x00000000000008c0: 00 00 00 00 nop
0x00000000000008c4: 00 E7 80 2A slt $s0, $a3, $a3
0x00000000000008c8: 00 00 00 00 nop
0x00000000000008cc: 36 10 3F 77 ori $s0, $s0, 0x3f77
0x00000000000008d0: 02 10 38 25 or $a3, $s0, $s0
0x00000000000008d4: 00 00 00 00 nop
0x00000000000008d8: 00 00 00 00 nop
0x00000000000008dc: 00 E7 B0 25 or $s6, $a3, $a3
0x00000000000008e0: 00 00 00 00 nop
0x00000000000008e4: 36 C1 EE F1 ori $at, $s6, 0xeef1
0x00000000000008e8: 00 00 A0 10 mfhi $s4
0x00000000000008ec: 36 20 02 80 ori $zero, $s1, 0x280
0x00000000000008f0: 02 94 A0 24 and $s4, $s4, $s4
0x00000000000008f4: 02 94 88 24 and $s1, $s4, $s4
0x00000000000008f8: 00 00 00 00 nop
0x00000000000008fc: 00 00 00 00 nop
0x0000000000000900: 02 31 10 22 sub $v0, $s1, $s1
0x0000000000000904: 3C 0F 03 7D lui $t7, 0x37d
0x0000000000000908: 34 47 35 10 ori $a3, $v0, 0x3510
0x000000000000090c: 00 00 00 00 nop
0x0000000000000910: 00 00 00 00 nop
0x0000000000000914: 34 F0 18 BA ori $s0, $a3, 0x18ba
0x0000000000000918: 00 00 00 00 nop
0x000000000000091c: 36 16 D5 98 ori $s6, $s0, 0xd598
0x0000000000000920: 00 00 00 00 nop
0x0000000000000924: 36 DE 04 8C ori $fp, $s6, 0x48c
0x0000000000000928: 00 00 00 00 nop
0x000000000000092c: 00 00 00 00 nop
0x0000000000000930: 03 DE 40 2A slt $t0, $fp, $fp
0x0000000000000934: 35 0C 29 A2 ori $t4, $t0, 0x29a2
0x0000000000000938: 32 D9 02 2E andi $t9, $s6, 0x22e
0x000000000000093c: 35 81 89 0A ori $at, $t4, 0x890a
0x0000000000000940: 00 00 00 00 nop
0x0000000000000944: 30 18 03 5E andi $t8, $zero, 0x35e
0x0000000000000948: 00 21 00 1A div $zero, $at, $at
0x000000000000094c: 00 00 00 00 nop
0x0000000000000950: 00 00 00 00 nop
0x0000000000000954: 02 F7 08 22 sub $at, $s7, $s7
0x0000000000000958: 00 00 00 00 nop
0x000000000000095c: 00 00 00 00 nop
0x0000000000000960: 00 21 B8 25 or $s7, $at, $at
0x0000000000000964: 21 54 00 46 addi $s4, $t2, 0x46
0x0000000000000968: 36 F4 6A 47 ori $s4, $s7, 0x6a47
0x000000000000096c: 00 00 00 00 nop
0x0000000000000970: 3C 05 02 C4 lui $a1, 0x2c4
0x0000000000000974: 02 94 78 25 or $t7, $s4, $s4
0x0000000000000978: 35 F2 07 04 ori $s2, $t7, 0x704
0x000000000000097c: 00 00 00 00 nop
0x0000000000000980: 36 55 16 9C ori $s5, $s2, 0x169c
0x0000000000000984: 36 B9 B2 56 ori $t9, $s5, 0xb256
0x0000000000000988: 00 00 00 00 nop
0x000000000000098c: 03 39 D0 22 sub $k0, $t9, $t9
0x0000000000000990: 00 00 00 00 nop
0x0000000000000994: 03 5A 38 20 add $a3, $k0, $k0
0x0000000000000998: 31 92 02 50 andi $s2, $t4, 0x250
0x000000000000099c: 34 46 02 A1 ori $a2, $v0, 0x2a1
0x00000000000009a0: 00 E7 88 20 add $s1, $a3, $a3
0x00000000000009a4: 00 00 00 00 nop
0x00000000000009a8: 36 29 AB 56 ori $t1, $s1, 0xab56
0x00000000000009ac: 3C 1B 00 71 lui $k1, 0x71
0x00000000000009b0: 35 30 D1 7A ori $s0, $t1, 0xd17a
0x00000000000009b4: 00 00 00 00 nop
0x00000000000009b8: 3C 1A 03 4D lui $k0, 0x34d
0x00000000000009bc: 02 10 98 20 add $s3, $s0, $s0
0x00000000000009c0: 3C 08 01 B3 lui $t0, 0x1b3
0x00000000000009c4: 00 00 00 00 nop
0x00000000000009c8: 36 6C A0 AD ori $t4, $s3, 0xa0ad
0x00000000000009cc: 23 B5 03 56 addi $s5, $sp, 0x356
0x00000000000009d0: 01 8C 70 25 or $t6, $t4, $t4
0x00000000000009d4: 00 00 00 00 nop
0x00000000000009d8: 01 CE 18 24 and $v1, $t6, $t6
0x00000000000009dc: 00 63 18 20 add $v1, $v1, $v1
0x00000000000009e0: 00 00 00 00 nop
0x00000000000009e4: 33 13 03 0A andi $s3, $t8, 0x30a
0x00000000000009e8: 34 7A 69 BC ori $k0, $v1, 0x69bc
0x00000000000009ec: 00 00 00 00 nop
0x00000000000009f0: 03 5A E0 25 or $gp, $k0, $k0
0x00000000000009f4: 00 00 00 00 nop
0x00000000000009f8: 03 9C 48 20 add $t1, $gp, $gp
0x00000000000009fc: 21 3A 00 3C addi $k0, $t1, 0x3c
0x0000000000000a00: 00 00 00 00 nop
0x0000000000000a04: 01 29 48 24 and $t1, $t1, $t1
0x0000000000000a08: 00 00 00 00 nop
0x0000000000000a0c: 00 00 D8 10 mfhi $k1
0x0000000000000a10: 03 7B 50 25 or $t2, $k1, $k1
0x0000000000000a14: 00 00 00 00 nop
0x0000000000000a18: 01 4A 50 2B sltu $t2, $t2, $t2
0x0000000000000a1c: 20 0B 01 31 addi $t3, $zero, 0x131
0x0000000000000a20: 00 00 00 00 nop
0x0000000000000a24: 35 45 98 1C ori $a1, $t2, 0x981c
0x0000000000000a28: 00 A5 10 22 sub $v0, $a1, $a1
0x0000000000000a2c: 31 ED 02 FB andi $t5, $t7, 0x2fb
0x0000000000000a30: 00 00 00 00 nop
0x0000000000000a34: 00 42 38 25 or $a3, $v0, $v0
0x0000000000000a38: 00 00 00 00 nop
0x0000000000000a3c: 00 E7 A8 20 add $s5, $a3, $a3
0x0000000000000a40: 36 A1 BC 15 ori $at, $s5, 0xbc15
0x0000000000000a44: 00 21 18 24 and $v1, $at, $at
0x0000000000000a48: 00 63 00 19 multu $v1, $v1
0x0000000000000a4c: 00 00 00 00 nop
0x0000000000000a50: 00 00 00 00 nop
0x0000000000000a54: 35 88 CB 55 ori $t0, $t4, 0xcb55
0x0000000000000a58: 01 08 D8 25 or $k1, $t0, $t0
0x0000000000000a5c: 37 6C EC C3 ori $t4, $k1, 0xecc3
0x0000000000000a60: 00 00 00 00 nop
0x0000000000000a64: 3C 15 03 8C lui $s5, 0x38c
0x0000000000000a68: 35 93 34 B2 ori $s3, $t4, 0x34b2
0x0000000000000a6c: 36 6D DA 3D ori $t5, $s3, 0xda3d
0x0000000000000a70: 00 00 00 00 nop
0x0000000000000a74: 00 00 00 00 nop
0x0000000000000a78: 35 B7 4B 17 ori $s7, $t5, 0x4b17
0x0000000000000a7c: 00 00 00 00 nop
0x0000000000000a80: 00 00 00 00 nop
0x0000000000000a84: 02 F7 00 1A div $zero, $s7, $s7
0x0000000000000a88: 3C 13 00 4D lui $s3, 0x4d
0x0000000000000a8c: 00 00 00 00 nop
0x0000000000000a90: 00 A5 C0 2B sltu $t8, $a1, $a1
0x0000000000000a94: 03 18 48 25 or $t1, $t8, $t8
0x0000000000000a98: 21 08 02 AD addi $t0, $t0, 0x2ad
0x0000000000000a9c: 34 D4 01 EC ori $s4, $a2, 0x1ec
0x0000000000000aa0: 35 25 19 3A ori $a1, $t1, 0x193a
0x0000000000000aa4: 32 23 01 57 andi $v1, $s1, 0x157
0x0000000000000aa8: 00 A5 00 1B divu $zero, $a1, $a1
0x0000000000000aac: 00 21 C8 24 and $t9, $at, $at
0x0000000000000ab0: 00 00 00 00 nop
0x0000000000000ab4: 03 39 00 19 multu $t9, $t9
0x0000000000000ab8: 00 00 00 00 nop
0x0000000000000abc: 37 A0 41 F6 ori $zero, $sp, 0x41f6
0x0000000000000ac0: 3C 0D 03 60 lui $t5, 0x360
0x0000000000000ac4: 34 D3 BB 55 ori $s3, $a2, 0xbb55
0x0000000000000ac8: 00 00 00 00 nop
0x0000000000000acc: 02 73 00 1B divu $zero, $s3, $s3
0x0000000000000ad0: 36 84 00 C3 ori $a0, $s4, 0xc3
0x0000000000000ad4: 00 00 00 00 nop
0x0000000000000ad8: 01 08 A0 25 or $s4, $t0, $t0
0x0000000000000adc: 02 94 90 2A slt $s2, $s4, $s4
0x0000000000000ae0: 00 00 00 00 nop
0x0000000000000ae4: 00 00 00 00 nop
0x0000000000000ae8: 36 4A EB 09 ori $t2, $s2, 0xeb09
0x0000000000000aec: 00 00 00 00 nop
0x0000000000000af0: 35 41 D1 03 ori $at, $t2, 0xd103
0x0000000000000af4: 21 A1 02 35 addi $at, $t5, 0x235
0x0000000000000af8: 32 02 02 FA andi $v0, $s0, 0x2fa
0x0000000000000afc: 00 21 E0 20 add $gp, $at, $at
0x0000000000000b00: 37 9F F7 82 ori $ra, $gp, 0xf782
0x0000000000000b04: 00 00 00 00 nop
0x0000000000000b08: 00 00 00 00 nop
0x0000000000000b0c: 37 F4 AE 4F ori $s4, $ra, 0xae4f
0x0000000000000b10: 02 94 58 22 sub $t3, $s4, $s4
0x0000000000000b14: 23 68 01 77 addi $t0, $k1, 0x177
0x0000000000000b18: 00 00 00 00 nop
0x0000000000000b1c: 35 71 C2 5E ori $s1, $t3, 0xc25e
0x0000000000000b20: 00 00 00 00 nop
0x0000000000000b24: 00 00 00 00 nop
0x0000000000000b28: 36 37 A0 99 ori $s7, $s1, 0xa099
0x0000000000000b2c: 00 00 00 00 nop
0x0000000000000b30: 02 F7 D0 22 sub $k0, $s7, $s7
0x0000000000000b34: 00 00 00 00 nop
0x0000000000000b38: 37 56 6E 2B ori $s6, $k0, 0x6e2b
0x0000000000000b3c: 00 00 00 00 nop
0x0000000000000b40: 32 77 00 E7 andi $s7, $s3, 0xe7
0x0000000000000b44: 02 D6 88 22 sub $s1, $s6, $s6
0x0000000000000b48: 00 00 00 00 nop
0x0000000000000b4c: 35 11 02 6A ori $s1, $t0, 0x26a
0x0000000000000b50: 36 38 28 FA ori $t8, $s1, 0x28fa
0x0000000000000b54: 03 18 28 25 or $a1, $t8, $t8
0x0000000000000b58: 00 00 00 00 nop
0x0000000000000b5c: 00 00 00 00 nop
0x0000000000000b60: 34 B6 5A 13 ori $s6, $a1, 0x5a13
0x0000000000000b64: 00 00 00 00 nop
0x0000000000000b68: 02 D6 00 2B sltu $zero, $s6, $s6
0x0000000000000b6c: 00 00 00 00 nop
0x0000000000000b70: 00 C5 98 20 add $s3, $a2, $a1
0x0000000000000b74: 36 7C E0 51 ori $gp, $s3, 0xe051
0x0000000000000b78: 00 00 00 00 nop
0x0000000000000b7c: 03 9C 20 2B sltu $a0, $gp, $gp
0x0000000000000b80: 34 80 6A A3 ori $zero, $a0, 0x6aa3
0x0000000000000b84: 34 10 D4 F3 ori $s0, $zero, 0xd4f3
0x0000000000000b88: 00 00 00 00 nop
0x0000000000000b8c: 00 00 00 00 nop
0x0000000000000b90: 36 1C CC 18 ori $gp, $s0, 0xcc18
0x0000000000000b94: 00 00 00 00 nop
0x0000000000000b98: 3C 05 03 60 lui $a1, 0x360
0x0000000000000b9c: 03 9C F0 22 sub $fp, $gp, $gp
0x0000000000000ba0: 00 00 00 00 nop
0x0000000000000ba4: 00 00 00 00 nop
0x0000000000000ba8: 03 DE D8 2A slt $k1, $fp, $fp
0x0000000000000bac: 00 00 00 00 nop
0x0000000000000bb0: 34 8B 03 B9 ori $t3, $a0, 0x3b9
0x0000000000000bb4: 37 77 BA B4 ori $s7, $k1, 0xbab4
0x0000000000000bb8: 02 F7 E0 2A slt $gp, $s7, $s7
0x0000000000000bbc: 31 7E 02 14 andi $fp, $t3, 0x214
0x0000000000000bc0: 00 00 00 00 nop
0x0000000000000bc4: 37 94 55 8C ori $s4, $gp, 0x558c
0x0000000000000bc8: 00 00 38 10 mfhi $a3
0x0000000000000bcc: 37 9B 02 AB ori $k1, $gp, 0x2ab
0x0000000000000bd0: 00 00 00 00 nop
0x0000000000000bd4: 34 EC AF 7B ori $t4, $a3, 0xaf7b
0x0000000000000bd8: 3C 1E 00 08 lui $fp, 8
0x0000000000000bdc: 00 00 00 00 nop
0x0000000000000be0: 01 8C 90 22 sub $s2, $t4, $t4
0x0000000000000be4: 21 5F 00 60 addi $ra, $t2, 0x60
0x0000000000000be8: 02 00 00 11 mthi $s0
0x0000000000000bec: 3C 15 02 B8 lui $s5, 0x2b8
0x0000000000000bf0: 34 5D 01 03 ori $sp, $v0, 0x103
0x0000000000000bf4: 35 82 1A 97 ori $v0, $t4, 0x1a97
0x0000000000000bf8: 34 4F 0F B8 ori $t7, $v0, 0xfb8
0x0000000000000bfc: 00 00 00 00 nop
0x0000000000000c00: 01 EF 08 20 add $at, $t7, $t7
0x0000000000000c04: 00 00 00 00 nop
0x0000000000000c08: 34 20 71 38 ori $zero, $at, 0x7138
0x0000000000000c0c: 00 00 00 00 nop
0x0000000000000c10: 34 B1 01 70 ori $s1, $a1, 0x170
0x0000000000000c14: 01 56 C0 24 and $t8, $t2, $s6
0x0000000000000c18: 03 18 00 19 multu $t8, $t8
0x0000000000000c1c: 35 79 E4 65 ori $t9, $t3, 0xe465
0x0000000000000c20: 00 00 00 00 nop
0x0000000000000c24: 00 00 00 00 nop
0x0000000000000c28: 37 26 54 57 ori $a2, $t9, 0x5457
0x0000000000000c2c: 00 00 00 00 nop
0x0000000000000c30: 00 00 00 00 nop
0x0000000000000c34: 00 C6 78 22 sub $t7, $a2, $a2
0x0000000000000c38: 33 D0 01 7C andi $s0, $fp, 0x17c
0x0000000000000c3c: 35 72 02 80 ori $s2, $t3, 0x280
0x0000000000000c40: 35 E1 B1 4F ori $at, $t7, 0xb14f
0x0000000000000c44: 3C 0C 02 D8 lui $t4, 0x2d8
0x0000000000000c48: 00 00 00 00 nop
0x0000000000000c4c: 00 21 00 19 multu $at, $at
0x0000000000000c50: 36 EA 6B 04 ori $t2, $s7, 0x6b04
0x0000000000000c54: 00 00 00 00 nop
0x0000000000000c58: 35 57 8F 30 ori $s7, $t2, 0x8f30
0x0000000000000c5c: 00 00 00 00 nop
0x0000000000000c60: 00 00 00 00 nop
0x0000000000000c64: 02 E0 00 11 mthi $s7
0x0000000000000c68: 35 6A E5 C9 ori $t2, $t3, 0xe5c9
0x0000000000000c6c: 01 40 00 11 mthi $t2
0x0000000000000c70: 33 0B 03 59 andi $t3, $t8, 0x359
0x0000000000000c74: 00 00 00 00 nop
0x0000000000000c78: 03 FF B8 2B sltu $s7, $ra, $ra
0x0000000000000c7c: 35 47 02 B3 ori $a3, $t2, 0x2b3
0x0000000000000c80: 00 00 00 00 nop
0x0000000000000c84: 36 E6 55 80 ori $a2, $s7, 0x5580
0x0000000000000c88: 00 00 00 00 nop
0x0000000000000c8c: 00 00 00 00 nop
0x0000000000000c90: 34 C3 E4 68 ori $v1, $a2, 0xe468
0x0000000000000c94: 00 00 00 00 nop
0x0000000000000c98: 00 00 00 00 nop
0x0000000000000c9c: 34 75 1A 63 ori $s5, $v1, 0x1a63
0x0000000000000ca0: 02 B5 E8 20 add $sp, $s5, $s5
0x0000000000000ca4: 21 8C 02 09 addi $t4, $t4, 0x209
0x0000000000000ca8: 03 BD 68 25 or $t5, $sp, $sp
0x0000000000000cac: 35 A0 F8 E9 ori $zero, $t5, 0xf8e9
0x0000000000000cb0: 00 00 00 00 nop
0x0000000000000cb4: 37 E4 00 05 ori $a0, $ra, 5
0x0000000000000cb8: 02 94 00 1B divu $zero, $s4, $s4
0x0000000000000cbc: 37 77 01 3D ori $s7, $k1, 0x13d
0x0000000000000cc0: 00 00 00 00 nop
0x0000000000000cc4: 03 FF 10 25 or $v0, $ra, $ra
0x0000000000000cc8: 34 42 E9 09 ori $v0, $v0, 0xe909
0x0000000000000ccc: 34 49 5E DE ori $t1, $v0, 0x5ede
0x0000000000000cd0: 00 00 00 00 nop
0x0000000000000cd4: 01 29 00 22 sub $zero, $t1, $t1
0x0000000000000cd8: 33 26 00 07 andi $a2, $t9, 7
0x0000000000000cdc: 34 70 8E 97 ori $s0, $v1, 0x8e97
0x0000000000000ce0: 3C 1C 00 76 lui $gp, 0x76
0x0000000000000ce4: 00 00 58 10 mfhi $t3
0x0000000000000ce8: 00 00 00 00 nop
0x0000000000000cec: 35 67 57 83 ori $a3, $t3, 0x5783
0x0000000000000cf0: 36 47 03 9F ori $a3, $s2, 0x39f
0x0000000000000cf4: 34 E9 CF 5D ori $t1, $a3, 0xcf5d
0x0000000000000cf8: 30 FC 00 CA andi $gp, $a3, 0xca
0x0000000000000cfc: 01 29 00 19 multu $t1, $t1
0x0000000000000d00: 00 00 00 00 nop
0x0000000000000d04: 03 5A 38 25 or $a3, $k0, $k0
0x0000000000000d08: 00 E7 98 22 sub $s3, $a3, $a3
0x0000000000000d0c: 00 00 00 00 nop
0x0000000000000d10: 37 32 03 95 ori $s2, $t9, 0x395
0x0000000000000d14: 36 6C 8E 9B ori $t4, $s3, 0x8e9b
0x0000000000000d18: 36 49 02 B6 ori $t1, $s2, 0x2b6
0x0000000000000d1c: 01 8C 38 22 sub $a3, $t4, $t4
0x0000000000000d20: 00 E7 18 22 sub $v1, $a3, $a3
0x0000000000000d24: 00 63 C0 24 and $t8, $v1, $v1
0x0000000000000d28: 34 3E 02 78 ori $fp, $at, 0x278
0x0000000000000d2c: 03 18 08 2B sltu $at, $t8, $t8
0x0000000000000d30: 00 00 00 00 nop
0x0000000000000d34: 00 00 00 00 nop
0x0000000000000d38: 00 21 28 20 add $a1, $at, $at
0x0000000000000d3c: 34 BA 7F D9 ori $k0, $a1, 0x7fd9
0x0000000000000d40: 3C 13 03 D9 lui $s3, 0x3d9
0x0000000000000d44: 03 5A A0 25 or $s4, $k0, $k0
0x0000000000000d48: 00 00 00 00 nop
0x0000000000000d4c: 00 00 00 00 nop
0x0000000000000d50: 00 00 70 12 mflo $t6
0x0000000000000d54: 00 00 00 00 nop
0x0000000000000d58: 00 00 00 00 nop
0x0000000000000d5c: 35 B5 73 1C ori $s5, $t5, 0x731c
0x0000000000000d60: 36 AC A2 93 ori $t4, $s5, 0xa293
0x0000000000000d64: 01 8C 60 24 and $t4, $t4, $t4
0x0000000000000d68: 00 00 00 00 nop
0x0000000000000d6c: 01 8C B0 25 or $s6, $t4, $t4
0x0000000000000d70: 00 00 00 00 nop
0x0000000000000d74: 02 D6 B0 25 or $s6, $s6, $s6
0x0000000000000d78: 30 CA 01 37 andi $t2, $a2, 0x137
0x0000000000000d7c: 3C 04 00 6C lui $a0, 0x6c
0x0000000000000d80: 02 D6 58 2B sltu $t3, $s6, $s6
0x0000000000000d84: 35 66 47 47 ori $a2, $t3, 0x4747
0x0000000000000d88: 00 00 00 00 nop
0x0000000000000d8c: 31 3F 01 55 andi $ra, $t1, 0x155
0x0000000000000d90: 34 D9 C1 36 ori $t9, $a2, 0xc136
0x0000000000000d94: 00 00 00 00 nop
0x0000000000000d98: 32 0F 01 94 andi $t7, $s0, 0x194
0x0000000000000d9c: 37 2D A5 67 ori $t5, $t9, 0xa567
0x0000000000000da0: 33 95 02 97 andi $s5, $gp, 0x297
0x0000000000000da4: 01 AD 58 2B sltu $t3, $t5, $t5
0x0000000000000da8: 00 00 00 00 nop
0x0000000000000dac: 35 7E 18 E0 ori $fp, $t3, 0x18e0
0x0000000000000db0: 00 00 00 00 nop
0x0000000000000db4: 00 00 00 00 nop
0x0000000000000db8: 03 DE 50 24 and $t2, $fp, $fp
0x0000000000000dbc: 00 00 00 00 nop
0x0000000000000dc0: 35 51 CA 8C ori $s1, $t2, 0xca8c
0x0000000000000dc4: 00 00 00 00 nop
0x0000000000000dc8: 36 2E D9 2E ori $t6, $s1, 0xd92e
0x0000000000000dcc: 00 00 00 00 nop
0x0000000000000dd0: 01 CE 00 19 multu $t6, $t6
0x0000000000000dd4: 00 00 00 00 nop
0x0000000000000dd8: 00 00 00 00 nop
0x0000000000000ddc: 00 E7 10 22 sub $v0, $a3, $a3
0x0000000000000de0: 3C 09 01 D6 lui $t1, 0x1d6
0x0000000000000de4: 00 42 D8 24 and $k1, $v0, $v0
0x0000000000000de8: 00 00 00 00 nop
0x0000000000000dec: 03 7B 50 24 and $t2, $k1, $k1
0x0000000000000df0: 00 00 00 00 nop
0x0000000000000df4: 00 00 38 10 mfhi $a3
0x0000000000000df8: 37 0C 02 BC ori $t4, $t8, 0x2bc
0x0000000000000dfc: 34 F1 5E C1 ori $s1, $a3, 0x5ec1
0x0000000000000e00: 36 25 B8 9D ori $a1, $s1, 0xb89d
0x0000000000000e04: 20 94 01 6A addi $s4, $a0, 0x16a
0x0000000000000e08: 34 B4 EA 18 ori $s4, $a1, 0xea18
0x0000000000000e0c: 36 92 49 8C ori $s2, $s4, 0x498c
0x0000000000000e10: 00 00 00 00 nop
0x0000000000000e14: 36 57 5E 71 ori $s7, $s2, 0x5e71
0x0000000000000e18: 00 00 00 00 nop
0x0000000000000e1c: 36 F8 45 20 ori $t8, $s7, 0x4520
0x0000000000000e20: 03 18 C0 25 or $t8, $t8, $t8
0x0000000000000e24: 00 00 00 00 nop
0x0000000000000e28: 37 1E 79 7D ori $fp, $t8, 0x797d
0x0000000000000e2c: 37 DC B8 5C ori $gp, $fp, 0xb85c
0x0000000000000e30: 22 4F 00 56 addi $t7, $s2, 0x56
0x0000000000000e34: 32 DE 00 D7 andi $fp, $s6, 0xd7
0x0000000000000e38: 37 8E B8 78 ori $t6, $gp, 0xb878
0x0000000000000e3c: 23 59 02 E3 addi $t9, $k0, 0x2e3
0x0000000000000e40: 35 CF 26 C9 ori $t7, $t6, 0x26c9
0x0000000000000e44: 00 00 00 00 nop
0x0000000000000e48: 01 EF F0 20 add $fp, $t7, $t7
0x0000000000000e4c: 00 00 00 00 nop
0x0000000000000e50: 00 00 00 00 nop
0x0000000000000e54: 03 DE 98 22 sub $s3, $fp, $fp
0x0000000000000e58: 00 00 00 00 nop
0x0000000000000e5c: 00 00 00 00 nop
0x0000000000000e60: 02 73 C0 22 sub $t8, $s3, $s3
0x0000000000000e64: 37 16 0C 0F ori $s6, $t8, 0xc0f
0x0000000000000e68: 02 D6 F8 22 sub $ra, $s6, $s6
0x0000000000000e6c: 37 E1 66 A5 ori $at, $ra, 0x66a5
0x0000000000000e70: 35 07 01 46 ori $a3, $t0, 0x146
0x0000000000000e74: 34 2D 0E 60 ori $t5, $at, 0xe60
0x0000000000000e78: 00 00 00 00 nop
0x0000000000000e7c: 20 A8 01 76 addi $t0, $a1, 0x176
0x0000000000000e80: 01 AD 00 18 mult $t5, $t5
0x0000000000000e84: 37 7B 30 FF ori $k1, $k1, 0x30ff
0x0000000000000e88: 03 7B 58 25 or $t3, $k1, $k1
0x0000000000000e8c: 00 00 00 00 nop
0x0000000000000e90: 00 00 00 00 nop
0x0000000000000e94: 00 00 90 12 mflo $s2
0x0000000000000e98: 02 52 C0 20 add $t8, $s2, $s2
0x0000000000000e9c: 00 00 00 00 nop
0x0000000000000ea0: 37 13 FF E1 ori $s3, $t8, 0xffe1
0x0000000000000ea4: 00 00 00 00 nop
0x0000000000000ea8: 00 00 00 00 nop
0x0000000000000eac: 36 6E 46 4A ori $t6, $s3, 0x464a
0x0000000000000eb0: 22 2A 01 3A addi $t2, $s1, 0x13a
0x0000000000000eb4: 01 CE F0 25 or $fp, $t6, $t6
0x0000000000000eb8: 00 00 00 00 nop
0x0000000000000ebc: 03 DE 00 22 sub $zero, $fp, $fp
0x0000000000000ec0: 36 1F 9C 8F ori $ra, $s0, 0x9c8f
0x0000000000000ec4: 00 00 00 00 nop
0x0000000000000ec8: 00 00 00 00 nop
0x0000000000000ecc: 03 FF B0 22 sub $s6, $ra, $ra
0x0000000000000ed0: 00 00 00 00 nop
0x0000000000000ed4: 00 00 00 00 nop
0x0000000000000ed8: 36 DD 61 32 ori $sp, $s6, 0x6132
0x0000000000000edc: 00 00 00 00 nop
0x0000000000000ee0: 00 00 00 00 nop
0x0000000000000ee4: 37 AE 83 56 ori $t6, $sp, 0x8356
0x0000000000000ee8: 3C 1B 02 41 lui $k1, 0x241
0x0000000000000eec: 35 C6 5E 29 ori $a2, $t6, 0x5e29
0x0000000000000ef0: 00 00 00 00 nop
0x0000000000000ef4: 21 4D 01 0E addi $t5, $t2, 0x10e
0x0000000000000ef8: 00 C6 50 20 add $t2, $a2, $a2
0x0000000000000efc: 00 00 00 00 nop
0x0000000000000f00: 01 4A 08 22 sub $at, $t2, $t2
0x0000000000000f04: 34 2B 58 42 ori $t3, $at, 0x5842
0x0000000000000f08: 31 06 01 18 andi $a2, $t0, 0x118
0x0000000000000f0c: 35 7F 4F BF ori $ra, $t3, 0x4fbf
0x0000000000000f10: 00 00 00 00 nop
0x0000000000000f14: 03 FF 70 22 sub $t6, $ra, $ra
0x0000000000000f18: 36 B0 01 21 ori $s0, $s5, 0x121
0x0000000000000f1c: 01 CE 38 24 and $a3, $t6, $t6
0x0000000000000f20: 33 13 01 35 andi $s3, $t8, 0x135
0x0000000000000f24: 00 00 00 00 nop
0x0000000000000f28: 34 E0 A9 00 ori $zero, $a3, 0xa900
0x0000000000000f2c: 32 29 03 6E andi $t1, $s1, 0x36e
0x0000000000000f30: 00 00 20 10 mfhi $a0
0x0000000000000f34: 33 4D 00 5C andi $t5, $k0, 0x5c
0x0000000000000f38: 00 84 98 2B sltu $s3, $a0, $a0
0x0000000000000f3c: 00 00 00 00 nop
0x0000000000000f40: 00 00 00 00 nop
0x0000000000000f44: 02 73 E0 20 add $gp, $s3, $s3
0x0000000000000f48: 34 6A 00 7D ori $t2, $v1, 0x7d
0x0000000000000f4c: 3C 06 02 09 lui $a2, 0x209
0x0000000000000f50: 37 89 55 49 ori $t1, $gp, 0x5549
0x0000000000000f54: 00 00 00 00 nop
0x0000000000000f58: 00 00 00 00 nop
0x0000000000000f5c: 01 29 C8 25 or $t9, $t1, $t1
0x0000000000000f60: 00 00 00 00 nop
0x0000000000000f64: 37 27 73 56 ori $a3, $t9, 0x7356
0x0000000000000f68: 34 FE 71 CB ori $fp, $a3, 0x71cb
0x0000000000000f6c: 20 E2 02 4E addi $v0, $a3, 0x24e
0x0000000000000f70: 37 D3 DE 28 ori $s3, $fp, 0xde28
0x0000000000000f74: 02 73 58 22 sub $t3, $s3, $s3
0x0000000000000f78: 35 79 A3 C2 ori $t9, $t3, 0xa3c2
0x0000000000000f7c: 00 00 00 00 nop
0x0000000000000f80: 37 26 AC C3 ori $a2, $t9, 0xacc3
0x0000000000000f84: 3C 07 01 75 lui $a3, 0x175
0x0000000000000f88: 00 00 00 00 nop
0x0000000000000f8c: 00 C6 50 20 add $t2, $a2, $a2
0x0000000000000f90: 00 00 00 00 nop
0x0000000000000f94: 01 4A 30 20 add $a2, $t2, $t2
0x0000000000000f98: 3C 03 03 18 lui $v1, 0x318
0x0000000000000f9c: 00 C6 A8 24 and $s5, $a2, $a2
0x0000000000000fa0: 36 B8 A3 E1 ori $t8, $s5, 0xa3e1
0x0000000000000fa4: 37 13 0B 8E ori $s3, $t8, 0xb8e
0x0000000000000fa8: 00 00 00 00 nop
0x0000000000000fac: 36 7F 6C 93 ori $ra, $s3, 0x6c93
0x0000000000000fb0: 00 00 00 00 nop
0x0000000000000fb4: 00 00 00 00 nop
0x0000000000000fb8: 03 FF C8 25 or $t9, $ra, $ra
0x0000000000000fbc: 00 00 00 00 nop
0x0000000000000fc0: 37 2C 34 79 ori $t4, $t9, 0x3479
0x0000000000000fc4: 01 8C 00 1A div $zero, $t4, $t4
0x0000000000000fc8: 35 9E 14 8A ori $fp, $t4, 0x148a
0x0000000000000fcc: 00 00 00 00 nop
0x0000000000000fd0: 00 00 00 00 nop
0x0000000000000fd4: 00 00 40 10 mfhi $t0
0x0000000000000fd8: 00 00 00 00 nop
0x0000000000000fdc: 35 05 A4 C2 ori $a1, $t0, 0xa4c2
0x0000000000000fe0: 36 DC 01 64 ori $gp, $s6, 0x164
0x0000000000000fe4: 32 6E 01 83 andi $t6, $s3, 0x183
0x0000000000000fe8: 34 A9 4E 52 ori $t1, $a1, 0x4e52
0x0000000000000fec: 35 28 02 C2 ori $t0, $t1, 0x2c2
0x0000000000000ff0: 20 77 01 8B addi $s7, $v1, 0x18b
0x0000000000000ff4: 35 2F 39 D1 ori $t7, $t1, 0x39d1
0x0000000000000ff8: 35 E2 47 12 ori $v0, $t7, 0x4712
0x0000000000000ffc: 00 00 00 00 nop
0x0000000000001000: 00 00 00 00 nop
0x0000000000001004: 34 43 0D E5 ori $v1, $v0, 0xde5
0x0000000000001008: 00 00 00 00 nop
0x000000000000100c: 34 65 AD 60 ori $a1, $v1, 0xad60
0x0000000000001010: 00 A5 48 25 or $t1, $a1, $a1
0x0000000000001014: 3C 01 00 2F lui $at, 0x2f
0x0000000000001018: 01 29 80 2A slt $s0, $t1, $t1
0x000000000000101c: 00 00 00 00 nop
0x0000000000001020: 00 00 00 00 nop
0x0000000000001024: 36 1B 48 2C ori $k1, $s0, 0x482c
0x0000000000001028: 00 00 00 00 nop
0x000000000000102c: 00 00 00 00 nop
0x0000000000001030: 37 62 B3 5E ori $v0, $k1, 0xb35e
0x0000000000001034: 00 00 00 00 nop
0x0000000000001038: 34 59 30 9B ori $t9, $v0, 0x309b
0x000000000000103c: 03 39 88 2A slt $s1, $t9, $t9
0x0000000000001040: 00 00 00 00 nop
0x0000000000001044: 3C 17 02 DC lui $s7, 0x2dc
0x0000000000001048: 36 39 F0 91 ori $t9, $s1, 0xf091
0x000000000000104c: 00 00 00 00 nop
0x0000000000001050: 03 20 00 11 mthi $t9
0x0000000000001054: 00 00 00 00 nop
0x0000000000001058: 32 C8 00 0C andi $t0, $s6, 0xc
0x000000000000105c: 36 A1 C0 3D ori $at, $s5, 0xc03d
0x0000000000001060: 37 39 01 7C ori $t9, $t9, 0x17c
0x0000000000001064: 34 28 2D 91 ori $t0, $at, 0x2d91
0x0000000000001068: 00 00 00 00 nop
0x000000000000106c: 3C 18 00 F3 lui $t8, 0xf3
0x0000000000001070: 35 07 2D C2 ori $a3, $t0, 0x2dc2
0x0000000000001074: 00 00 00 00 nop
0x0000000000001078: 00 E7 00 19 multu $a3, $a3
0x000000000000107c: 00 00 00 00 nop
0x0000000000001080: 00 00 68 12 mflo $t5
0x0000000000001084: 01 AD B0 22 sub $s6, $t5, $t5
0x0000000000001088: 00 00 00 00 nop
0x000000000000108c: 00 00 00 00 nop
0x0000000000001090: 00 00 30 12 mflo $a2
0x0000000000001094: 20 46 03 44 addi $a2, $v0, 0x344
0x0000000000001098: 34 D3 7D F8 ori $s3, $a2, 0x7df8
0x000000000000109c: 22 E0 01 20 addi $zero, $s7, 0x120
0x00000000000010a0: 36 79 AA BD ori $t9, $s3, 0xaabd
0x00000000000010a4: 21 C6 00 95 addi $a2, $t6, 0x95
0x00000000000010a8: 03 39 10 2A slt $v0, $t9, $t9
0x00000000000010ac: 34 55 3C FC ori $s5, $v0, 0x3cfc
0x00000000000010b0: 00 00 00 00 nop
0x00000000000010b4: 00 00 00 00 nop
0x00000000000010b8: 36 B5 D9 6B ori $s5, $s5, 0xd96b
0x00000000000010bc: 00 00 00 00 nop
0x00000000000010c0: 02 B5 50 25 or $t2, $s5, $s5
0x00000000000010c4: 00 00 00 00 nop
0x00000000000010c8: 35 41 9D 47 ori $at, $t2, 0x9d47
0x00000000000010cc: 34 30 20 2D ori $s0, $at, 0x202d
0x00000000000010d0: 00 00 00 00 nop
0x00000000000010d4: 36 1A 13 66 ori $k0, $s0, 0x1366
0x00000000000010d8: 00 00 00 00 nop
0x00000000000010dc: 03 5A 60 24 and $t4, $k0, $k0
0x00000000000010e0: 36 B8 00 2E ori $t8, $s5, 0x2e
0x00000000000010e4: 00 00 00 00 nop
0x00000000000010e8: 01 8C A8 20 add $s5, $t4, $t4
0x00000000000010ec: 00 00 00 00 nop
0x00000000000010f0: 30 C0 02 3F andi $zero, $a2, 0x23f
0x00000000000010f4: 36 A1 0A 1D ori $at, $s5, 0xa1d
0x00000000000010f8: 00 20 00 13 mtlo $at
0x00000000000010fc: 37 1A CB A8 ori $k0, $t8, 0xcba8
0x0000000000001100: 23 81 00 6C addi $at, $gp, 0x6c
0x0000000000001104: 37 45 8C 1B ori $a1, $k0, 0x8c1b
0x0000000000001108: 00 00 00 00 nop
0x000000000000110c: 00 00 00 00 nop
0x0000000000001110: 34 B3 4B 32 ori $s3, $a1, 0x4b32
0x0000000000001114: 02 73 98 20 add $s3, $s3, $s3
0x0000000000001118: 00 00 00 00 nop
0x000000000000111c: 02 73 68 24 and $t5, $s3, $s3
0x0000000000001120: 01 AD 00 1B divu $zero, $t5, $t5
0x0000000000001124: 00 00 58 12 mflo $t3
0x0000000000001128: 00 00 00 00 nop
0x000000000000112c: 01 6B 48 20 add $t1, $t3, $t3
0x0000000000001130: 30 03 02 BA andi $v1, $zero, 0x2ba
0x0000000000001134: 20 23 01 BC addi $v1, $at, 0x1bc
0x0000000000001138: 35 34 1C A5 ori $s4, $t1, 0x1ca5
0x000000000000113c: 3C 1C 01 01 lui $gp, 0x101
0x0000000000001140: 32 E7 03 59 andi $a3, $s7, 0x359
0x0000000000001144: 02 94 18 24 and $v1, $s4, $s4
0x0000000000001148: 00 63 48 25 or $t1, $v1, $v1
0x000000000000114c: 00 00 90 12 mflo $s2
0x0000000000001150: 21 D4 02 0A addi $s4, $t6, 0x20a
0x0000000000001154: 00 00 00 00 nop
0x0000000000001158: 36 58 0F 83 ori $t8, $s2, 0xf83
0x000000000000115c: 00 00 00 00 nop
0x0000000000001160: 03 18 08 2B sltu $at, $t8, $t8
0x0000000000001164: 00 00 00 00 nop
0x0000000000001168: 00 00 00 00 nop
0x000000000000116c: 00 21 B0 24 and $s6, $at, $at
0x0000000000001170: 35 86 03 11 ori $a2, $t4, 0x311
0x0000000000001174: 00 00 00 00 nop
0x0000000000001178: 36 D6 31 4A ori $s6, $s6, 0x314a
0x000000000000117c: 36 DE 64 DF ori $fp, $s6, 0x64df
0x0000000000001180: 37 C7 4F B6 ori $a3, $fp, 0x4fb6
0x0000000000001184: 3C 0D 01 2D lui $t5, 0x12d
0x0000000000001188: 00 00 00 00 nop
0x000000000000118c: 34 EB FB A1 ori $t3, $a3, 0xfba1
0x0000000000001190: 00 00 00 00 nop
0x0000000000001194: 01 6B 38 25 or $a3, $t3, $t3
0x0000000000001198: 34 E9 D3 50 ori $t1, $a3, 0xd350
0x000000000000119c: 00 00 00 00 nop
0x00000000000011a0: 00 00 00 00 nop
0x00000000000011a4: 01 29 C8 24 and $t9, $t1, $t1
0x00000000000011a8: 37 34 03 7B ori $s4, $t9, 0x37b
0x00000000000011ac: 00 00 00 00 nop
0x00000000000011b0: 02 94 08 22 sub $at, $s4, $s4
0x00000000000011b4: 3C 13 03 42 lui $s3, 0x342
0x00000000000011b8: 3C 0B 00 65 lui $t3, 0x65
0x00000000000011bc: 03 60 00 13 mtlo $k1
0x00000000000011c0: 00 00 00 00 nop
0x00000000000011c4: 00 00 00 00 nop
0x00000000000011c8: 00 00 00 00 nop
0x00000000000011cc: 36 AE 98 F4 ori $t6, $s5, 0x98f4
0x00000000000011d0: 01 CE 90 22 sub $s2, $t6, $t6
0x00000000000011d4: 02 52 58 2A slt $t3, $s2, $s2
0x00000000000011d8: 35 6A 9A 2A ori $t2, $t3, 0x9a2a
0x00000000000011dc: 35 52 C0 DC ori $s2, $t2, 0xc0dc
0x00000000000011e0: 33 BE 01 6F andi $fp, $sp, 0x16f
0x00000000000011e4: 32 B4 00 22 andi $s4, $s5, 0x22
0x00000000000011e8: 36 52 05 8F ori $s2, $s2, 0x58f
0x00000000000011ec: 23 69 02 E0 addi $t1, $k1, 0x2e0
0x00000000000011f0: 36 6F 01 E7 ori $t7, $s3, 0x1e7
0x00000000000011f4: 02 52 50 22 sub $t2, $s2, $s2
0x00000000000011f8: 3C 1A 00 15 lui $k0, 0x15
0x00000000000011fc: 35 41 01 B3 ori $at, $t2, 0x1b3
0x0000000000001200: 00 00 00 00 nop
0x0000000000001204: 00 21 00 1A div $zero, $at, $at
0x0000000000001208: 32 00 00 47 andi $zero, $s0, 0x47
0x000000000000120c: 37 39 9F 57 ori $t9, $t9, 0x9f57
0x0000000000001210: 03 39 30 20 add $a2, $t9, $t9
0x0000000000001214: 00 00 00 00 nop
0x0000000000001218: 00 C6 70 22 sub $t6, $a2, $a2
0x000000000000121c: 35 A1 02 B4 ori $at, $t5, 0x2b4
0x0000000000001220: 35 C6 DA 65 ori $a2, $t6, 0xda65
0x0000000000001224: 00 00 00 00 nop
0x0000000000001228: 00 C6 00 20 add $zero, $a2, $a2
0x000000000000122c: 01 1C E0 24 and $gp, $t0, $gp
0x0000000000001230: 00 00 00 00 nop
0x0000000000001234: 37 8B E3 79 ori $t3, $gp, 0xe379
0x0000000000001238: 00 00 00 00 nop
0x000000000000123c: 35 79 0A F1 ori $t9, $t3, 0xaf1
0x0000000000001240: 00 00 00 00 nop
0x0000000000001244: 03 39 00 18 mult $t9, $t9
0x0000000000001248: 37 C9 03 B2 ori $t1, $fp, 0x3b2
0x000000000000124c: 35 31 7B 23 ori $s1, $t1, 0x7b23
0x0000000000001250: 02 31 20 25 or $a0, $s1, $s1
0x0000000000001254: 00 84 00 1A div $zero, $a0, $a0
0x0000000000001258: 00 00 00 00 nop
0x000000000000125c: 21 D8 03 AA addi $t8, $t6, 0x3aa
0x0000000000001260: 37 D9 C8 A4 ori $t9, $fp, 0xc8a4
0x0000000000001264: 37 2E 0A 5E ori $t6, $t9, 0xa5e
0x0000000000001268: 35 C1 88 EA ori $at, $t6, 0x88ea
0x000000000000126c: 00 00 00 00 nop
0x0000000000001270: 00 21 A8 22 sub $s5, $at, $at
0x0000000000001274: 00 00 00 00 nop
0x0000000000001278: 00 00 00 00 nop
0x000000000000127c: 02 A0 00 13 mtlo $s5
0x0000000000001280: 03 C0 00 13 mtlo $fp
0x0000000000001284: 00 00 00 00 nop
0x0000000000001288: 02 31 00 1B divu $zero, $s1, $s1
0x000000000000128c: 37 E2 CB 1A ori $v0, $ra, 0xcb1a
0x0000000000001290: 3C 1D 01 C3 lui $sp, 0x1c3
0x0000000000001294: 00 00 00 00 nop
0x0000000000001298: 34 53 42 50 ori $s3, $v0, 0x4250
0x000000000000129c: 00 00 00 00 nop
0x00000000000012a0: 02 73 80 25 or $s0, $s3, $s3
0x00000000000012a4: 00 00 00 00 nop
0x00000000000012a8: 36 13 81 F9 ori $s3, $s0, 0x81f9
0x00000000000012ac: 00 00 00 00 nop
0x00000000000012b0: 02 60 00 13 mtlo $s3
0x00000000000012b4: 30 72 02 CB andi $s2, $v1, 0x2cb
0x00000000000012b8: 37 06 86 74 ori $a2, $t8, 0x8674
0x00000000000012bc: 00 00 00 00 nop
0x00000000000012c0: 34 D6 55 56 ori $s6, $a2, 0x5556
0x00000000000012c4: 00 00 00 00 nop
0x00000000000012c8: 02 D6 E0 24 and $gp, $s6, $s6
0x00000000000012cc: 37 89 1F C4 ori $t1, $gp, 0x1fc4
0x00000000000012d0: 34 6E 03 3F ori $t6, $v1, 0x33f
0x00000000000012d4: 01 29 A8 22 sub $s5, $t1, $t1
0x00000000000012d8: 00 00 00 00 nop
0x00000000000012dc: 02 B5 E8 20 add $sp, $s5, $s5
0x00000000000012e0: 37 B3 F2 2B ori $s3, $sp, 0xf22b
0x00000000000012e4: 00 00 00 00 nop
0x00000000000012e8: 35 D7 03 65 ori $s7, $t6, 0x365
0x00000000000012ec: 02 73 98 22 sub $s3, $s3, $s3
0x00000000000012f0: 00 00 00 00 nop
0x00000000000012f4: 00 00 00 00 nop
0x00000000000012f8: 36 7D 59 C8 ori $sp, $s3, 0x59c8
0x00000000000012fc: 37 B2 F0 96 ori $s2, $sp, 0xf096
0x0000000000001300: 37 C6 00 C5 ori $a2, $fp, 0xc5
0x0000000000001304: 00 00 00 00 nop
0x0000000000001308: 02 52 00 19 multu $s2, $s2
0x000000000000130c: 00 00 00 00 nop
0x0000000000001310: 01 AD F8 2B sltu $ra, $t5, $t5
0x0000000000001314: 03 FF 40 2B sltu $t0, $ra, $ra
0x0000000000001318: 01 08 E8 20 add $sp, $t0, $t0
0x000000000000131c: 3C 01 01 99 lui $at, 0x199
0x0000000000001320: 03 BD F8 22 sub $ra, $sp, $sp
0x0000000000001324: 00 00 00 00 nop
0x0000000000001328: 34 5D 00 24 ori $sp, $v0, 0x24
0x000000000000132c: 01 AD 78 24 and $t7, $t5, $t5
0x0000000000001330: 00 00 00 00 nop
0x0000000000001334: 35 F0 A4 6E ori $s0, $t7, 0xa46e
0x0000000000001338: 00 00 00 00 nop
0x000000000000133c: 00 00 00 00 nop
0x0000000000001340: 02 10 C0 24 and $t8, $s0, $s0
0x0000000000001344: 00 00 00 00 nop
0x0000000000001348: 00 00 00 00 nop
0x000000000000134c: 37 0C 5B 51 ori $t4, $t8, 0x5b51
0x0000000000001350: 00 00 00 00 nop
0x0000000000001354: 35 88 FC 0A ori $t0, $t4, 0xfc0a
0x0000000000001358: 35 EA 02 02 ori $t2, $t7, 0x202
0x000000000000135c: 01 08 00 19 multu $t0, $t0
0x0000000000001360: 35 BB FD 47 ori $k1, $t5, 0xfd47
0x0000000000001364: 21 D3 02 15 addi $s3, $t6, 0x215
0x0000000000001368: 00 00 00 00 nop
0x000000000000136c: 37 6A 9E E2 ori $t2, $k1, 0x9ee2
0x0000000000001370: 01 40 00 11 mthi $t2
0x0000000000001374: 00 00 00 00 nop
0x0000000000001378: 00 00 00 00 nop
0x000000000000137c: 01 29 80 25 or $s0, $t1, $t1
0x0000000000001380: 00 00 00 00 nop
0x0000000000001384: 36 10 1F 48 ori $s0, $s0, 0x1f48
0x0000000000001388: 30 AB 03 22 andi $t3, $a1, 0x322
0x000000000000138c: 21 A7 02 09 addi $a3, $t5, 0x209
0x0000000000001390: 02 10 30 2A slt $a2, $s0, $s0
0x0000000000001394: 00 00 00 00 nop
0x0000000000001398: 34 CA E3 88 ori $t2, $a2, 0xe388
0x000000000000139c: 00 00 00 00 nop
0x00000000000013a0: 31 CA 02 AD andi $t2, $t6, 0x2ad
0x00000000000013a4: 01 4A 90 20 add $s2, $t2, $t2
0x00000000000013a8: 23 0D 01 AA addi $t5, $t8, 0x1aa
0x00000000000013ac: 20 78 01 87 addi $t8, $v1, 0x187
0x00000000000013b0: 36 4C 5A 62 ori $t4, $s2, 0x5a62
0x00000000000013b4: 00 00 00 00 nop
0x00000000000013b8: 00 00 A8 10 mfhi $s5
0x00000000000013bc: 00 00 00 00 nop
0x00000000000013c0: 00 00 00 00 nop
0x00000000000013c4: 36 A4 9D 95 ori $a0, $s5, 0x9d95
0x00000000000013c8: 34 95 F8 FA ori $s5, $a0, 0xf8fa
0x00000000000013cc: 00 00 00 00 nop
0x00000000000013d0: 02 B5 30 24 and $a2, $s5, $s5
0x00000000000013d4: 34 2C 00 80 ori $t4, $at, 0x80
0x00000000000013d8: 34 DD A6 8F ori $sp, $a2, 0xa68f
0x00000000000013dc: 00 00 00 00 nop
0x00000000000013e0: 20 2B 02 9D addi $t3, $at, 0x29d
0x00000000000013e4: 37 B4 1C EB ori $s4, $sp, 0x1ceb
0x00000000000013e8: 00 00 70 12 mflo $t6
0x00000000000013ec: 34 6A 03 07 ori $t2, $v1, 0x307
0x00000000000013f0: 01 CE 00 1B divu $zero, $t6, $t6
0x00000000000013f4: 36 84 01 27 ori $a0, $s4, 0x127
0x00000000000013f8: 36 83 23 0D ori $v1, $s4, 0x230d
0x00000000000013fc: 00 00 00 00 nop
0x0000000000001400: 21 90 00 FB addi $s0, $t4, 0xfb
0x0000000000001404: 00 63 00 1A div $zero, $v1, $v1
0x0000000000001408: 37 BE 9B 5C ori $fp, $sp, 0x9b5c
0x000000000000140c: 00 00 00 00 nop
0x0000000000001410: 37 CC 4B 40 ori $t4, $fp, 0x4b40
0x0000000000001414: 31 E7 03 11 andi $a3, $t7, 0x311
0x0000000000001418: 00 00 00 00 nop
0x000000000000141c: 00 00 18 10 mfhi $v1
0x0000000000001420: 00 00 00 00 nop
0x0000000000001424: 34 66 06 08 ori $a2, $v1, 0x608
0x0000000000001428: 00 C6 20 24 and $a0, $a2, $a2
0x000000000000142c: 32 C1 01 8F andi $at, $s6, 0x18f
0x0000000000001430: 34 92 9C 64 ori $s2, $a0, 0x9c64
0x0000000000001434: 02 52 A0 25 or $s4, $s2, $s2
0x0000000000001438: 00 00 00 00 nop
0x000000000000143c: 20 25 00 AE addi $a1, $at, 0xae
0x0000000000001440: 00 00 10 12 mflo $v0
0x0000000000001444: 00 42 C8 25 or $t9, $v0, $v0
0x0000000000001448: 21 A3 02 41 addi $v1, $t5, 0x241
0x000000000000144c: 37 3C 4C 59 ori $gp, $t9, 0x4c59
0x0000000000001450: 00 00 00 00 nop
0x0000000000001454: 00 00 00 00 nop
0x0000000000001458: 37 92 65 E3 ori $s2, $gp, 0x65e3
0x000000000000145c: 22 F8 03 D9 addi $t8, $s7, 0x3d9
0x0000000000001460: 00 00 00 00 nop
0x0000000000001464: 02 52 78 20 add $t7, $s2, $s2
0x0000000000001468: 3C 1F 01 5B lui $ra, 0x15b
0x000000000000146c: 00 00 00 00 nop
0x0000000000001470: 01 E0 00 13 mtlo $t7
0x0000000000001474: 00 00 00 00 nop
0x0000000000001478: 22 4D 02 F2 addi $t5, $s2, 0x2f2
0x000000000000147c: 03 9C 40 2A slt $t0, $gp, $gp
0x0000000000001480: 00 00 00 00 nop
0x0000000000001484: 34 1F 03 B5 ori $ra, $zero, 0x3b5
0x0000000000001488: 34 4D 4F A5 ori $t5, $v0, 0x4fa5
0x000000000000148c: 01 AD 50 20 add $t2, $t5, $t5
0x0000000000001490: 35 57 78 E6 ori $s7, $t2, 0x78e6
0x0000000000001494: 02 F7 A8 24 and $s5, $s7, $s7
0x0000000000001498: 00 00 00 00 nop
0x000000000000149c: 00 00 00 00 nop
0x00000000000014a0: 02 B5 80 24 and $s0, $s5, $s5
0x00000000000014a4: 00 00 00 00 nop
0x00000000000014a8: 36 0C A2 99 ori $t4, $s0, 0xa299
0x00000000000014ac: 35 8B B2 27 ori $t3, $t4, 0xb227
0x00000000000014b0: 35 7C 8D 57 ori $gp, $t3, 0x8d57
0x00000000000014b4: 00 00 00 00 nop
0x00000000000014b8: 03 9C 00 22 sub $zero, $gp, $gp
0x00000000000014bc: 00 00 00 00 nop
0x00000000000014c0: 21 B0 01 EF addi $s0, $t5, 0x1ef
0x00000000000014c4: 35 5D 5C 91 ori $sp, $t2, 0x5c91
0x00000000000014c8: 34 B1 00 08 ori $s1, $a1, 8
0x00000000000014cc: 37 B4 4B A6 ori $s4, $sp, 0x4ba6
0x00000000000014d0: 00 00 00 00 nop
0x00000000000014d4: 00 00 00 00 nop
0x00000000000014d8: 02 94 48 22 sub $t1, $s4, $s4
0x00000000000014dc: 23 50 03 42 addi $s0, $k0, 0x342
0x00000000000014e0: 35 25 38 D6 ori $a1, $t1, 0x38d6
0x00000000000014e4: 23 80 02 8A addi $zero, $gp, 0x28a
0x00000000000014e8: 34 BA 8C D3 ori $k0, $a1, 0x8cd3
0x00000000000014ec: 03 5A D8 25 or $k1, $k0, $k0
0x00000000000014f0: 3C 1F 03 A4 lui $ra, 0x3a4
0x00000000000014f4: 37 7A 44 BB ori $k0, $k1, 0x44bb
0x00000000000014f8: 00 00 00 00 nop
0x00000000000014fc: 03 5A 40 2B sltu $t0, $k0, $k0
0x0000000000001500: 00 00 00 00 nop
0x0000000000001504: 01 08 08 24 and $at, $t0, $t0
0x0000000000001508: 32 D9 01 F5 andi $t9, $s6, 0x1f5
0x000000000000150c: 00 00 00 00 nop
0x0000000000001510: 34 2A B8 BB ori $t2, $at, 0xb8bb
0x0000000000001514: 35 42 86 CB ori $v0, $t2, 0x86cb
0x0000000000001518: 00 42 60 2A slt $t4, $v0, $v0
0x000000000000151c: 00 00 00 00 nop
0x0000000000001520: 00 00 00 00 nop
0x0000000000001524: 01 8C 80 2B sltu $s0, $t4, $t4
0x0000000000001528: 00 00 00 00 nop
0x000000000000152c: 00 00 00 00 nop
0x0000000000001530: 36 1C 85 C7 ori $gp, $s0, 0x85c7
0x0000000000001534: 03 9C 00 2B sltu $zero, $gp, $gp
0x0000000000001538: 35 A6 37 BB ori $a2, $t5, 0x37bb
0x000000000000153c: 31 5C 00 7A andi $gp, $t2, 0x7a
0x0000000000001540: 20 B0 03 80 addi $s0, $a1, 0x380
0x0000000000001544: 34 D1 A0 D9 ori $s1, $a2, 0xa0d9
0x0000000000001548: 02 31 00 1A div $zero, $s1, $s1
0x000000000000154c: 00 00 00 00 nop
0x0000000000001550: 00 00 00 00 nop
0x0000000000001554: 36 29 04 FC ori $t1, $s1, 0x4fc
0x0000000000001558: 01 29 C0 20 add $t8, $t1, $t1
0x000000000000155c: 37 04 99 0C ori $a0, $t8, 0x990c
0x0000000000001560: 00 00 00 00 nop
0x0000000000001564: 00 84 40 25 or $t0, $a0, $a0
0x0000000000001568: 01 08 00 19 multu $t0, $t0
0x000000000000156c: 00 00 00 00 nop
0x0000000000001570: 3C 0A 03 AA lui $t2, 0x3aa
0x0000000000001574: 00 00 08 12 mflo $at
0x0000000000001578: 00 00 00 00 nop
0x000000000000157c: 33 72 01 DB andi $s2, $k1, 0x1db
0x0000000000001580: 00 21 00 19 multu $at, $at
0x0000000000001584: 35 AA 00 D4 ori $t2, $t5, 0xd4
0x0000000000001588: 01 8C 28 24 and $a1, $t4, $t4
0x000000000000158c: 34 AB 22 BF ori $t3, $a1, 0x22bf
0x0000000000001590: 35 68 18 C1 ori $t0, $t3, 0x18c1
0x0000000000001594: 00 00 00 00 nop
0x0000000000001598: 00 00 00 00 nop
0x000000000000159c: 35 1E 99 1B ori $fp, $t0, 0x991b
0x00000000000015a0: 37 C3 FD F5 ori $v1, $fp, 0xfdf5
0x00000000000015a4: 00 60 00 13 mtlo $v1
0x00000000000015a8: 00 00 00 00 nop
0x00000000000015ac: 00 00 00 00 nop
0x00000000000015b0: 35 86 37 3C ori $a2, $t4, 0x373c
0x00000000000015b4: 00 00 00 00 nop
0x00000000000015b8: 34 CB F7 D1 ori $t3, $a2, 0xf7d1
0x00000000000015bc: 00 00 00 00 nop
0x00000000000015c0: 01 6B E0 2A slt $gp, $t3, $t3
0x00000000000015c4: 32 7C 03 09 andi $gp, $s3, 0x309
0x00000000000015c8: 03 80 00 11 mthi $gp
0x00000000000015cc: 00 00 00 00 nop
0x00000000000015d0: 3C 10 00 C5 lui $s0, 0xc5
0x00000000000015d4: 34 A0 20 DE ori $zero, $a1, 0x20de
0x00000000000015d8: 3C 15 02 69 lui $s5, 0x269
0x00000000000015dc: 02 1D 98 22 sub $s3, $s0, $sp
0x00000000000015e0: 36 77 50 94 ori $s7, $s3, 0x5094
0x00000000000015e4: 00 00 00 00 nop
0x00000000000015e8: 32 ED 03 B3 andi $t5, $s7, 0x3b3
0x00000000000015ec: 36 E6 D2 5D ori $a2, $s7, 0xd25d
0x00000000000015f0: 3C 0A 03 01 lui $t2, 0x301
0x00000000000015f4: 34 D1 89 FD ori $s1, $a2, 0x89fd
0x00000000000015f8: 00 00 00 00 nop
0x00000000000015fc: 00 00 00 00 nop
0x0000000000001600: 36 39 A2 A4 ori $t9, $s1, 0xa2a4
0x0000000000001604: 03 20 00 11 mthi $t9
0x0000000000001608: 23 FA 02 3B addi $k0, $ra, 0x23b
0x000000000000160c: 02 52 00 1B divu $zero, $s2, $s2
0x0000000000001610: 35 B2 01 53 ori $s2, $t5, 0x153
0x0000000000001614: 00 A5 68 25 or $t5, $a1, $a1
0x0000000000001618: 3C 1F 02 7F lui $ra, 0x27f
0x000000000000161c: 01 AD C0 25 or $t8, $t5, $t5
0x0000000000001620: 37 1C 47 20 ori $gp, $t8, 0x4720
0x0000000000001624: 3C 11 01 EF lui $s1, 0x1ef
0x0000000000001628: 03 9C 00 1A div $zero, $gp, $gp
0x000000000000162c: 34 DE D8 20 ori $fp, $a2, 0xd820
0x0000000000001630: 30 F2 01 83 andi $s2, $a3, 0x183
0x0000000000001634: 00 00 00 00 nop
0x0000000000001638: 37 D1 D2 C2 ori $s1, $fp, 0xd2c2
0x000000000000163c: 00 00 00 00 nop
0x0000000000001640: 36 33 A2 68 ori $s3, $s1, 0xa268
0x0000000000001644: 00 00 00 00 nop
0x0000000000001648: 02 73 C8 20 add $t9, $s3, $s3
0x000000000000164c: 00 00 00 00 nop
0x0000000000001650: 03 39 C0 25 or $t8, $t9, $t9
0x0000000000001654: 3C 03 03 6A lui $v1, 0x36a
0x0000000000001658: 03 18 D8 25 or $k1, $t8, $t8
0x000000000000165c: 37 6C E6 FF ori $t4, $k1, 0xe6ff
0x0000000000001660: 00 00 00 00 nop
0x0000000000001664: 01 8C 00 1A div $zero, $t4, $t4
0x0000000000001668: 00 00 00 00 nop
0x000000000000166c: 03 5A 00 19 multu $k0, $k0
0x0000000000001670: 3C 1D 01 B5 lui $sp, 0x1b5
0x0000000000001674: 00 00 00 00 nop
0x0000000000001678: 37 41 17 8A ori $at, $k0, 0x178a
0x000000000000167c: 00 00 00 00 nop
0x0000000000001680: 20 21 00 1F addi $at, $at, 0x1f
0x0000000000001684: 00 21 10 24 and $v0, $at, $at
0x0000000000001688: 32 39 03 C5 andi $t9, $s1, 0x3c5
0x000000000000168c: 00 00 00 00 nop
0x0000000000001690: 00 42 E0 2A slt $gp, $v0, $v0
0x0000000000001694: 03 9C F8 22 sub $ra, $gp, $gp
0x0000000000001698: 37 E3 3B 8C ori $v1, $ra, 0x3b8c
0x000000000000169c: 00 00 00 00 nop
0x00000000000016a0: 00 63 70 20 add $t6, $v1, $v1
0x00000000000016a4: 01 CE B8 20 add $s7, $t6, $t6
0x00000000000016a8: 00 00 00 00 nop
0x00000000000016ac: 02 F7 B8 25 or $s7, $s7, $s7
0x00000000000016b0: 00 00 00 00 nop
0x00000000000016b4: 00 00 00 00 nop
0x00000000000016b8: 36 EC 9B EB ori $t4, $s7, 0x9beb
0x00000000000016bc: 35 FD 00 22 ori $sp, $t7, 0x22
0x00000000000016c0: 01 8C 70 2A slt $t6, $t4, $t4
0x00000000000016c4: 35 DA F8 D8 ori $k0, $t6, 0xf8d8
0x00000000000016c8: 21 F6 01 05 addi $s6, $t7, 0x105
0x00000000000016cc: 00 00 00 00 nop
0x00000000000016d0: 37 53 91 07 ori $s3, $k0, 0x9107
0x00000000000016d4: 02 73 A0 25 or $s4, $s3, $s3
0x00000000000016d8: 22 DD 03 A0 addi $sp, $s6, 0x3a0
0x00000000000016dc: 02 94 00 1A div $zero, $s4, $s4
0x00000000000016e0: 36 F8 DB 7C ori $t8, $s7, 0xdb7c
0x00000000000016e4: 00 00 00 00 nop
0x00000000000016e8: 03 18 E0 22 sub $gp, $t8, $t8
0x00000000000016ec: 23 26 01 F4 addi $a2, $t9, 0x1f4
0x00000000000016f0: 00 00 00 00 nop
0x00000000000016f4: 03 9C 98 25 or $s3, $gp, $gp
0x00000000000016f8: 3C 18 01 38 lui $t8, 0x138
0x00000000000016fc: 00 00 00 00 nop
0x0000000000001700: 3C 07 01 B4 lui $a3, 0x1b4
0x0000000000001704: 35 2C 00 44 ori $t4, $t1, 0x44
0x0000000000001708: 36 BE EA 61 ori $fp, $s5, 0xea61
0x000000000000170c: 00 00 00 00 nop
0x0000000000001710: 37 D6 D3 80 ori $s6, $fp, 0xd380
0x0000000000001714: 02 D6 88 22 sub $s1, $s6, $s6
0x0000000000001718: 00 00 00 00 nop
0x000000000000171c: 00 00 00 00 nop
0x0000000000001720: 02 31 B8 20 add $s7, $s1, $s1
0x0000000000001724: 00 00 00 00 nop
0x0000000000001728: 00 00 00 00 nop
0x000000000000172c: 36 EB C2 63 ori $t3, $s7, 0xc263
0x0000000000001730: 35 69 90 88 ori $t1, $t3, 0x9088
0x0000000000001734: 00 00 00 00 nop
0x0000000000001738: 00 00 00 00 nop
0x000000000000173c: 35 24 09 5F ori $a0, $t1, 0x95f
0x0000000000001740: 20 25 03 66 addi $a1, $at, 0x366
0x0000000000001744: 00 84 98 22 sub $s3, $a0, $a0
0x0000000000001748: 00 00 00 00 nop
0x000000000000174c: 00 00 F0 10 mfhi $fp
0x0000000000001750: 37 C5 EA 60 ori $a1, $fp, 0xea60
0x0000000000001754: 00 00 00 00 nop
0x0000000000001758: 00 A5 40 20 add $t0, $a1, $a1
0x000000000000175c: 35 03 C7 0A ori $v1, $t0, 0xc70a
0x0000000000001760: 00 63 C0 2B sltu $t8, $v1, $v1
0x0000000000001764: 22 4F 03 00 addi $t7, $s2, 0x300
0x0000000000001768: 37 0E 69 6D ori $t6, $t8, 0x696d
0x000000000000176c: 23 46 01 BA addi $a2, $k0, 0x1ba
0x0000000000001770: 35 D2 EF 2E ori $s2, $t6, 0xef2e
0x0000000000001774: 23 9D 02 79 addi $sp, $gp, 0x279
0x0000000000001778: 3C 0F 03 93 lui $t7, 0x393
0x000000000000177c: 02 52 00 1A div $zero, $s2, $s2
0x0000000000001780: 36 9B 57 AB ori $k1, $s4, 0x57ab
0x0000000000001784: 00 00 00 00 nop
0x0000000000001788: 03 7B 48 20 add $t1, $k1, $k1
0x000000000000178c: 00 00 00 00 nop
0x0000000000001790: 35 2E CC 2A ori $t6, $t1, 0xcc2a
0x0000000000001794: 35 C0 BA A6 ori $zero, $t6, 0xbaa6
0x0000000000001798: 35 65 B7 FC ori $a1, $t3, 0xb7fc
0x000000000000179c: 33 F6 01 6B andi $s6, $ra, 0x16b
0x00000000000017a0: 00 00 50 12 mflo $t2
0x00000000000017a4: 00 00 00 00 nop
0x00000000000017a8: 00 00 00 00 nop
0x00000000000017ac: 01 4A 10 2A slt $v0, $t2, $t2
0x00000000000017b0: 00 00 00 00 nop
0x00000000000017b4: 00 00 00 00 nop
0x00000000000017b8: 00 42 90 2A slt $s2, $v0, $v0
0x00000000000017bc: 02 40 00 13 mtlo $s2
0x00000000000017c0: 34 79 B6 46 ori $t9, $v1, 0xb646
0x00000000000017c4: 37 34 5B F8 ori $s4, $t9, 0x5bf8
0x00000000000017c8: 35 3D 01 A2 ori $sp, $t1, 0x1a2
0x00000000000017cc: 00 00 00 00 nop
0x00000000000017d0: 02 94 70 25 or $t6, $s4, $s4
0x00000000000017d4: 35 C0 F6 B9 ori $zero, $t6, 0xf6b9
0x00000000000017d8: 34 8D 7E 41 ori $t5, $a0, 0x7e41
0x00000000000017dc: 35 B8 CB 98 ori $t8, $t5, 0xcb98
0x00000000000017e0: 00 00 00 00 nop
0x00000000000017e4: 37 0F E2 B4 ori $t7, $t8, 0xe2b4
0x00000000000017e8: 00 00 00 00 nop
0x00000000000017ec: 01 EF 48 25 or $t1, $t7, $t7
0x00000000000017f0: 35 26 63 F9 ori $a2, $t1, 0x63f9
0x00000000000017f4: 00 00 00 00 nop
0x00000000000017f8: 00 00 00 00 nop
0x00000000000017fc: 34 D1 45 16 ori $s1, $a2, 0x4516
0x0000000000001800: 00 00 00 00 nop
0x0000000000001804: 36 23 C5 1A ori $v1, $s1, 0xc51a
0x0000000000001808: 00 00 00 00 nop
0x000000000000180c: 00 00 00 00 nop
0x0000000000001810: 00 63 10 22 sub $v0, $v1, $v1
0x0000000000001814: 31 F3 02 64 andi $s3, $t7, 0x264
0x0000000000001818: 00 42 08 22 sub $at, $v0, $v0
0x000000000000181c: 00 00 00 00 nop
0x0000000000001820: 00 21 28 22 sub $a1, $at, $at
0x0000000000001824: 00 A5 B0 20 add $s6, $a1, $a1
0x0000000000001828: 00 00 00 00 nop
0x000000000000182c: 36 D9 D2 AA ori $t9, $s6, 0xd2aa
0x0000000000001830: 00 00 00 00 nop
0x0000000000001834: 03 39 30 20 add $a2, $t9, $t9
0x0000000000001838: 00 00 00 00 nop
0x000000000000183c: 00 00 00 00 nop
0x0000000000001840: 00 C6 60 20 add $t4, $a2, $a2
0x0000000000001844: 01 8C 08 22 sub $at, $t4, $t4
0x0000000000001848: 3C 08 02 6D lui $t0, 0x26d
0x000000000000184c: 00 00 00 00 nop
0x0000000000001850: 00 21 B0 22 sub $s6, $at, $at
0x0000000000001854: 22 23 00 FF addi $v1, $s1, 0xff
0x0000000000001858: 36 DE 4A E5 ori $fp, $s6, 0x4ae5
0x000000000000185c: 37 CD B6 2E ori $t5, $fp, 0xb62e
0x0000000000001860: 37 8A 00 54 ori $t2, $gp, 0x54
0x0000000000001864: 01 AD 80 22 sub $s0, $t5, $t5
0x0000000000001868: 02 10 50 20 add $t2, $s0, $s0
0x000000000000186c: 00 00 00 00 nop
0x0000000000001870: 35 49 DB EF ori $t1, $t2, 0xdbef
0x0000000000001874: 00 00 00 00 nop
0x0000000000001878: 35 3F 98 AA ori $ra, $t1, 0x98aa
0x000000000000187c: 00 00 00 00 nop
0x0000000000001880: 00 00 00 00 nop
0x0000000000001884: 03 FF 30 24 and $a2, $ra, $ra
0x0000000000001888: 31 0B 01 9A andi $t3, $t0, 0x19a
0x000000000000188c: 00 00 00 00 nop
0x0000000000001890: 00 C6 A8 20 add $s5, $a2, $a2
0x0000000000001894: 00 00 00 00 nop
0x0000000000001898: 02 B5 D8 24 and $k1, $s5, $s5
0x000000000000189c: 00 00 00 00 nop
0x00000000000018a0: 03 7B B8 2B sltu $s7, $k1, $k1
0x00000000000018a4: 02 F7 70 22 sub $t6, $s7, $s7
0x00000000000018a8: 32 F3 00 05 andi $s3, $s7, 5
0x00000000000018ac: 36 C8 02 FD ori $t0, $s6, 0x2fd
0x00000000000018b0: 01 CE 40 20 add $t0, $t6, $t6
0x00000000000018b4: 00 00 00 00 nop
0x00000000000018b8: 00 00 00 00 nop
0x00000000000018bc: 35 1C 5A DD ori $gp, $t0, 0x5add
0x00000000000018c0: 00 00 00 00 nop
0x00000000000018c4: 37 9C B2 12 ori $gp, $gp, 0xb212
0x00000000000018c8: 31 A0 03 5D andi $zero, $t5, 0x35d
0x00000000000018cc: 03 9C E8 25 or $sp, $gp, $gp
0x00000000000018d0: 00 00 00 00 nop
0x00000000000018d4: 00 00 00 00 nop
0x00000000000018d8: 03 BD D8 25 or $k1, $sp, $sp
0x00000000000018dc: 37 6C 0A 90 ori $t4, $k1, 0xa90
0x00000000000018e0: 36 CB 02 22 ori $t3, $s6, 0x222
0x00000000000018e4: 01 8C 18 22 sub $v1, $t4, $t4
0x00000000000018e8: 35 85 CC 0C ori $a1, $t4, 0xcc0c
0x00000000000018ec: 3C 0F 00 48 lui $t7, 0x48
0x00000000000018f0: 00 A5 08 22 sub $at, $a1, $a1
0x00000000000018f4: 00 00 00 00 nop
0x00000000000018f8: 34 29 8C EF ori $t1, $at, 0x8cef
0x00000000000018fc: 35 3B 17 E2 ori $k1, $t1, 0x17e2
0x0000000000001900: 00 00 00 00 nop
0x0000000000001904: 23 44 02 18 addi $a0, $k0, 0x218
0x0000000000001908: 03 60 00 11 mthi $k1
0x000000000000190c: 02 F7 00 19 multu $s7, $s7
0x0000000000001910: 00 00 00 00 nop
0x0000000000001914: 00 00 00 00 nop
0x0000000000001918: 00 42 00 19 multu $v0, $v0
0x000000000000191c: 00 00 00 00 nop
0x0000000000001920: 00 00 00 00 nop
0x0000000000001924: 37 0E 3C F4 ori $t6, $t8, 0x3cf4
0x0000000000001928: 35 DF 08 13 ori $ra, $t6, 0x813
0x000000000000192c: 03 FF B0 22 sub $s6, $ra, $ra
0x0000000000001930: 00 00 00 00 nop
0x0000000000001934: 36 C6 69 8B ori $a2, $s6, 0x698b
0x0000000000001938: 3C 07 03 3D lui $a3, 0x33d
0x000000000000193c: 34 C6 C6 59 ori $a2, $a2, 0xc659
0x0000000000001940: 37 71 01 23 ori $s1, $k1, 0x123
0x0000000000001944: 3C 1D 03 A1 lui $sp, 0x3a1
0x0000000000001948: 34 CC 6F 4D ori $t4, $a2, 0x6f4d
0x000000000000194c: 35 90 1D D6 ori $s0, $t4, 0x1dd6
0x0000000000001950: 00 00 00 00 nop
0x0000000000001954: 00 00 00 00 nop
0x0000000000001958: 36 0E 7C B2 ori $t6, $s0, 0x7cb2
0x000000000000195c: 00 00 00 00 nop
0x0000000000001960: 35 D1 E8 D8 ori $s1, $t6, 0xe8d8
0x0000000000001964: 00 00 00 00 nop
0x0000000000001968: 00 00 00 00 nop
0x000000000000196c: 36 38 F2 2B ori $t8, $s1, 0xf22b
0x0000000000001970: 00 00 00 00 nop
0x0000000000001974: 03 18 40 24 and $t0, $t8, $t8
0x0000000000001978: 00 00 00 00 nop
0x000000000000197c: 00 00 00 00 nop
0x0000000000001980: 35 1A 4F 74 ori $k0, $t0, 0x4f74
0x0000000000001984: 32 FF 03 D4 andi $ra, $s7, 0x3d4
0x0000000000001988: 37 5C 66 EC ori $gp, $k0, 0x66ec
0x000000000000198c: 31 97 00 20 andi $s7, $t4, 0x20
0x0000000000001990: 37 95 24 CD ori $s5, $gp, 0x24cd
0x0000000000001994: 02 B5 70 22 sub $t6, $s5, $s5
0x0000000000001998: 00 00 00 00 nop
0x000000000000199c: 00 00 00 00 nop
0x00000000000019a0: 35 C8 1B 07 ori $t0, $t6, 0x1b07
0x00000000000019a4: 35 18 41 9C ori $t8, $t0, 0x419c
0x00000000000019a8: 34 E4 01 92 ori $a0, $a3, 0x192
0x00000000000019ac: 37 1E C9 95 ori $fp, $t8, 0xc995
0x00000000000019b0: 03 DE 00 1A div $zero, $fp, $fp
0x00000000000019b4: 00 00 00 00 nop
0x00000000000019b8: 02 C0 00 13 mtlo $s6
0x00000000000019bc: 3C 0F 03 1C lui $t7, 0x31c
0x00000000000019c0: 35 31 C8 DE ori $s1, $t1, 0xc8de
0x00000000000019c4: 36 29 2C 04 ori $t1, $s1, 0x2c04
0x00000000000019c8: 36 44 01 79 ori $a0, $s2, 0x179
0x00000000000019cc: 35 2A 7B D0 ori $t2, $t1, 0x7bd0
0x00000000000019d0: 21 E8 03 81 addi $t0, $t7, 0x381
0x00000000000019d4: 00 00 00 00 nop
0x00000000000019d8: 01 4A 00 1A div $zero, $t2, $t2
0x00000000000019dc: 35 5F 01 91 ori $ra, $t2, 0x191
0x00000000000019e0: 00 00 00 00 nop
0x00000000000019e4: 36 DC 44 DD ori $gp, $s6, 0x44dd
0x00000000000019e8: 03 9C 78 2A slt $t7, $gp, $gp
0x00000000000019ec: 01 EF E8 2B sltu $sp, $t7, $t7
0x00000000000019f0: 03 BD 80 22 sub $s0, $sp, $sp
0x00000000000019f4: 00 00 00 00 nop
0x00000000000019f8: 36 1D E0 26 ori $sp, $s0, 0xe026
0x00000000000019fc: 03 BD 60 25 or $t4, $sp, $sp
0x0000000000001a00: 00 00 00 00 nop
0x0000000000001a04: 35 9F DA 7C ori $ra, $t4, 0xda7c
0x0000000000001a08: 3C 06 02 E6 lui $a2, 0x2e6
0x0000000000001a0c: 00 00 00 00 nop
0x0000000000001a10: 03 FF 00 1A div $zero, $ra, $ra
0x0000000000001a14: 34 D1 CF 0A ori $s1, $a2, 0xcf0a
0x0000000000001a18: 00 00 00 00 nop
0x0000000000001a1c: 36 2B F3 C7 ori $t3, $s1, 0xf3c7
0x0000000000001a20: 00 00 00 00 nop
0x0000000000001a24: 00 00 00 00 nop
0x0000000000001a28: 01 6B 80 20 add $s0, $t3, $t3
0x0000000000001a2c: 36 15 61 DD ori $s5, $s0, 0x61dd
0x0000000000001a30: 02 A0 00 13 mtlo $s5
0x0000000000001a34: 00 00 00 00 nop
0x0000000000001a38: 02 B5 A0 20 add $s4, $s5, $s5
0x0000000000001a3c: 02 94 30 25 or $a2, $s4, $s4
0x0000000000001a40: 00 00 00 00 nop
0x0000000000001a44: 34 DB 0D 2D ori $k1, $a2, 0xd2d
0x0000000000001a48: 00 00 00 00 nop
0x0000000000001a4c: 00 00 18 10 mfhi $v1
0x0000000000001a50: 32 68 02 F4 andi $t0, $s3, 0x2f4
0x0000000000001a54: 00 00 08 12 mflo $at
0x0000000000001a58: 00 00 00 00 nop
0x0000000000001a5c: 00 00 00 00 nop
0x0000000000001a60: 00 21 B8 2B sltu $s7, $at, $at
0x0000000000001a64: 31 2D 00 0B andi $t5, $t1, 0xb
0x0000000000001a68: 36 E9 F9 5F ori $t1, $s7, 0xf95f
0x0000000000001a6c: 01 29 A0 24 and $s4, $t1, $t1
0x0000000000001a70: 00 00 00 00 nop
0x0000000000001a74: 02 80 00 13 mtlo $s4
0x0000000000001a78: 00 00 00 00 nop
0x0000000000001a7c: 00 00 00 00 nop
0x0000000000001a80: 01 EF 68 25 or $t5, $t7, $t7
0x0000000000001a84: 00 00 00 00 nop
0x0000000000001a88: 00 00 00 00 nop
0x0000000000001a8c: 01 AD 70 24 and $t6, $t5, $t5
0x0000000000001a90: 00 00 00 00 nop
0x0000000000001a94: 00 00 00 00 nop
0x0000000000001a98: 01 CE 60 22 sub $t4, $t6, $t6
0x0000000000001a9c: 00 00 00 00 nop
0x0000000000001aa0: 01 8C 10 25 or $v0, $t4, $t4
0x0000000000001aa4: 34 51 C2 F9 ori $s1, $v0, 0xc2f9
0x0000000000001aa8: 31 C2 02 E2 andi $v0, $t6, 0x2e2
0x0000000000001aac: 00 00 00 00 nop
0x0000000000001ab0: 02 31 A8 22 sub $s5, $s1, $s1
0x0000000000001ab4: 36 A0 BA 85 ori $zero, $s5, 0xba85
0x0000000000001ab8: 03 97 E0 2A slt $gp, $gp, $s7
0x0000000000001abc: 00 00 00 00 nop
0x0000000000001ac0: 00 00 00 00 nop
0x0000000000001ac4: 03 9C 50 20 add $t2, $gp, $gp
0x0000000000001ac8: 00 00 00 00 nop
0x0000000000001acc: 01 4A F0 24 and $fp, $t2, $t2
0x0000000000001ad0: 20 92 01 9D addi $s2, $a0, 0x19d
0x0000000000001ad4: 37 DA B2 DB ori $k0, $fp, 0xb2db
0x0000000000001ad8: 00 00 00 00 nop
0x0000000000001adc: 00 00 00 00 nop
0x0000000000001ae0: 37 45 73 75 ori $a1, $k0, 0x7375
0x0000000000001ae4: 00 00 00 00 nop
0x0000000000001ae8: 00 00 00 00 nop
0x0000000000001aec: 00 A5 68 20 add $t5, $a1, $a1
0x0000000000001af0: 35 A5 F4 6A ori $a1, $t5, 0xf46a
0x0000000000001af4: 00 00 00 00 nop
0x0000000000001af8: 00 A5 08 22 sub $at, $a1, $a1
0x0000000000001afc: 00 00 00 00 nop
0x0000000000001b00: 00 21 A8 20 add $s5, $at, $at
0x0000000000001b04: 00 00 00 00 nop
0x0000000000001b08: 02 B5 00 19 multu $s5, $s5
0x0000000000001b0c: 34 70 35 FF ori $s0, $v1, 0x35ff
0x0000000000001b10: 32 FF 02 41 andi $ra, $s7, 0x241
0x0000000000001b14: 00 00 00 00 nop
0x0000000000001b18: 36 03 B4 CD ori $v1, $s0, 0xb4cd
0x0000000000001b1c: 34 7A 3B C9 ori $k0, $v1, 0x3bc9
0x0000000000001b20: 23 36 00 2E addi $s6, $t9, 0x2e
0x0000000000001b24: 03 5A 28 20 add $a1, $k0, $k0
0x0000000000001b28: 00 00 00 00 nop
0x0000000000001b2c: 34 A2 64 46 ori $v0, $a1, 0x6446
0x0000000000001b30: 00 00 00 00 nop
0x0000000000001b34: 35 6F 00 52 ori $t7, $t3, 0x52
0x0000000000001b38: 00 42 40 22 sub $t0, $v0, $v0
0x0000000000001b3c: 00 00 00 00 nop
0x0000000000001b40: 35 0A 04 A9 ori $t2, $t0, 0x4a9
0x0000000000001b44: 00 00 00 00 nop
0x0000000000001b48: 00 00 00 00 nop
0x0000000000001b4c: 35 4C 6A 94 ori $t4, $t2, 0x6a94
0x0000000000001b50: 35 9D 04 91 ori $sp, $t4, 0x491
0x0000000000001b54: 3C 03 00 99 lui $v1, 0x99
0x0000000000001b58: 37 9D 01 03 ori $sp, $gp, 0x103
0x0000000000001b5c: 37 BA B0 46 ori $k0, $sp, 0xb046
0x0000000000001b60: 20 71 01 00 addi $s1, $v1, 0x100
0x0000000000001b64: 00 00 00 00 nop
0x0000000000001b68: 03 5A 28 25 or $a1, $k0, $k0
0x0000000000001b6c: 34 BC 00 60 ori $gp, $a1, 0x60
0x0000000000001b70: 00 00 00 00 nop
0x0000000000001b74: 34 B2 23 09 ori $s2, $a1, 0x2309
0x0000000000001b78: 00 00 00 00 nop
0x0000000000001b7c: 30 D4 02 43 andi $s4, $a2, 0x243
0x0000000000001b80: 36 5A 45 F0 ori $k0, $s2, 0x45f0
0x0000000000001b84: 00 00 00 00 nop
0x0000000000001b88: 00 00 00 00 nop
0x0000000000001b8c: 37 4B 94 88 ori $t3, $k0, 0x9488
0x0000000000001b90: 00 00 00 00 nop
0x0000000000001b94: 00 00 00 00 nop
0x0000000000001b98: 35 60 AA 60 ori $zero, $t3, 0xaa60
0x0000000000001b9c: 00 00 00 00 nop
0x0000000000001ba0: 01 45 10 20 add $v0, $t2, $a1
0x0000000000001ba4: 33 2C 03 3F andi $t4, $t9, 0x33f
0x0000000000001ba8: 00 00 00 00 nop
0x0000000000001bac: 00 42 50 20 add $t2, $v0, $v0
0x0000000000001bb0: 00 00 00 00 nop
0x0000000000001bb4: 00 00 00 00 nop
0x0000000000001bb8: 01 4A 48 20 add $t1, $t2, $t2
0x0000000000001bbc: 00 00 00 00 nop
0x0000000000001bc0: 00 00 00 00 nop
0x0000000000001bc4: 01 29 58 24 and $t3, $t1, $t1
0x0000000000001bc8: 35 68 62 08 ori $t0, $t3, 0x6208
0x0000000000001bcc: 00 00 00 00 nop
0x0000000000001bd0: 01 00 00 11 mthi $t0
0x0000000000001bd4: 00 00 00 00 nop
0x0000000000001bd8: 00 00 00 00 nop
0x0000000000001bdc: 00 C6 E0 22 sub $gp, $a2, $a2
0x0000000000001be0: 03 9C 48 24 and $t1, $gp, $gp
0x0000000000001be4: 00 00 00 00 nop
0x0000000000001be8: 01 29 C0 25 or $t8, $t1, $t1
0x0000000000001bec: 00 00 00 00 nop
0x0000000000001bf0: 37 1C 6C 28 ori $gp, $t8, 0x6c28
0x0000000000001bf4: 03 9C 68 25 or $t5, $gp, $gp
0x0000000000001bf8: 00 00 00 00 nop
0x0000000000001bfc: 00 00 00 00 nop
0x0000000000001c00: 01 AD F0 25 or $fp, $t5, $t5
0x0000000000001c04: 00 00 00 00 nop
0x0000000000001c08: 3C 12 03 6A lui $s2, 0x36a
0x0000000000001c0c: 00 00 08 10 mfhi $at
0x0000000000001c10: 00 00 00 00 nop
0x0000000000001c14: 00 00 00 00 nop
0x0000000000001c18: 00 21 00 1B divu $zero, $at, $at
0x0000000000001c1c: 00 00 00 00 nop
0x0000000000001c20: 00 00 00 00 nop
0x0000000000001c24: 01 E9 F0 25 or $fp, $t7, $t1
0x0000000000001c28: 00 00 00 00 nop
0x0000000000001c2c: 00 00 00 00 nop
0x0000000000001c30: 03 DE 20 20 add $a0, $fp, $fp
0x0000000000001c34: 34 93 B8 D8 ori $s3, $a0, 0xb8d8
0x0000000000001c38: 00 00 00 00 nop
0x0000000000001c3c: 02 60 00 11 mthi $s3
0x0000000000001c40: 35 01 2E D8 ori $at, $t0, 0x2ed8
0x0000000000001c44: 00 00 00 00 nop
0x0000000000001c48: 00 00 00 00 nop
0x0000000000001c4c: 00 21 88 25 or $s1, $at, $at
0x0000000000001c50: 00 00 00 00 nop
0x0000000000001c54: 02 31 F0 24 and $fp, $s1, $s1
0x0000000000001c58: 00 00 00 00 nop
0x0000000000001c5c: 03 DE B0 22 sub $s6, $fp, $fp
0x0000000000001c60: 36 C9 63 34 ori $t1, $s6, 0x6334
0x0000000000001c64: 00 00 00 00 nop
0x0000000000001c68: 35 37 72 47 ori $s7, $t1, 0x7247
0x0000000000001c6c: 00 00 00 00 nop
0x0000000000001c70: 02 F7 A8 20 add $s5, $s7, $s7
0x0000000000001c74: 00 00 00 00 nop
0x0000000000001c78: 20 06 03 62 addi $a2, $zero, 0x362
0x0000000000001c7c: 02 B5 10 20 add $v0, $s5, $s5
0x0000000000001c80: 00 00 00 00 nop
0x0000000000001c84: 34 4E 39 F0 ori $t6, $v0, 0x39f0
0x0000000000001c88: 01 CE D0 24 and $k0, $t6, $t6
0x0000000000001c8c: 34 4B 02 F8 ori $t3, $v0, 0x2f8
0x0000000000001c90: 03 5A 68 20 add $t5, $k0, $k0
0x0000000000001c94: 00 00 10 12 mflo $v0
0x0000000000001c98: 00 00 00 00 nop
0x0000000000001c9c: 00 42 30 20 add $a2, $v0, $v0
0x0000000000001ca0: 00 00 00 00 nop
0x0000000000001ca4: 36 D3 02 34 ori $s3, $s6, 0x234
0x0000000000001ca8: 34 C4 87 06 ori $a0, $a2, 0x8706
0x0000000000001cac: 00 84 F8 20 add $ra, $a0, $a0
0x0000000000001cb0: 03 FF 38 22 sub $a3, $ra, $ra
0x0000000000001cb4: 00 E7 48 24 and $t1, $a3, $a3
0x0000000000001cb8: 3C 16 01 31 lui $s6, 0x131
0x0000000000001cbc: 00 00 00 00 nop
0x0000000000001cc0: 01 29 C8 24 and $t9, $t1, $t1
0x0000000000001cc4: 00 00 00 00 nop
0x0000000000001cc8: 00 00 00 00 nop
0x0000000000001ccc: 37 33 02 57 ori $s3, $t9, 0x257
0x0000000000001cd0: 00 00 00 00 nop
0x0000000000001cd4: 36 64 59 D9 ori $a0, $s3, 0x59d9
0x0000000000001cd8: 00 00 00 00 nop
0x0000000000001cdc: 00 84 98 22 sub $s3, $a0, $a0
0x0000000000001ce0: 23 60 02 CB addi $zero, $k1, 0x2cb
0x0000000000001ce4: 02 73 70 2A slt $t6, $s3, $s3
0x0000000000001ce8: 00 00 00 00 nop
0x0000000000001cec: 00 00 00 00 nop
0x0000000000001cf0: 35 C1 45 A9 ori $at, $t6, 0x45a9
0x0000000000001cf4: 34 2F A6 31 ori $t7, $at, 0xa631
0x0000000000001cf8: 35 F5 63 A6 ori $s5, $t7, 0x63a6
0x0000000000001cfc: 02 B5 68 2B sltu $t5, $s5, $s5
0x0000000000001d00: 00 00 00 00 nop
0x0000000000001d04: 30 2F 03 B8 andi $t7, $at, 0x3b8
0x0000000000001d08: 35 A0 BF 44 ori $zero, $t5, 0xbf44
0x0000000000001d0c: 00 00 00 00 nop
0x0000000000001d10: 00 D6 F0 24 and $fp, $a2, $s6
0x0000000000001d14: 03 DE A8 20 add $s5, $fp, $fp
0x0000000000001d18: 02 B5 E0 22 sub $gp, $s5, $s5
0x0000000000001d1c: 37 98 26 D7 ori $t8, $gp, 0x26d7
0x0000000000001d20: 03 18 78 20 add $t7, $t8, $t8
0x0000000000001d24: 00 00 00 00 nop
0x0000000000001d28: 33 39 00 3C andi $t9, $t9, 0x3c
0x0000000000001d2c: 01 EF 88 22 sub $s1, $t7, $t7
0x0000000000001d30: 00 00 00 00 nop
0x0000000000001d34: 36 28 50 D7 ori $t0, $s1, 0x50d7
0x0000000000001d38: 35 00 47 D1 ori $zero, $t0, 0x47d1
0x0000000000001d3c: 00 00 00 00 nop
0x0000000000001d40: 01 2F 78 25 or $t7, $t1, $t7
0x0000000000001d44: 00 00 00 00 nop
0x0000000000001d48: 01 EF F0 2B sltu $fp, $t7, $t7
0x0000000000001d4c: 00 00 00 00 nop
0x0000000000001d50: 37 C4 BD 9C ori $a0, $fp, 0xbd9c
0x0000000000001d54: 00 84 28 25 or $a1, $a0, $a0
0x0000000000001d58: 34 72 03 4D ori $s2, $v1, 0x34d
0x0000000000001d5c: 34 AC 48 DD ori $t4, $a1, 0x48dd
0x0000000000001d60: 30 02 01 09 andi $v0, $zero, 0x109
0x0000000000001d64: 01 8C 48 20 add $t1, $t4, $t4
0x0000000000001d68: 01 29 50 22 sub $t2, $t1, $t1
0x0000000000001d6c: 01 4A F0 22 sub $fp, $t2, $t2
0x0000000000001d70: 37 DF B9 2E ori $ra, $fp, 0xb92e
0x0000000000001d74: 00 00 00 00 nop
0x0000000000001d78: 00 00 00 00 nop
0x0000000000001d7c: 37 F9 25 28 ori $t9, $ra, 0x2528
0x0000000000001d80: 00 00 00 00 nop
0x0000000000001d84: 03 39 00 1A div $zero, $t9, $t9
0x0000000000001d88: 34 83 F2 16 ori $v1, $a0, 0xf216
0x0000000000001d8c: 00 00 00 00 nop
0x0000000000001d90: 00 63 38 2A slt $a3, $v1, $v1
0x0000000000001d94: 00 00 00 00 nop
0x0000000000001d98: 00 E7 50 22 sub $t2, $a3, $a3
0x0000000000001d9c: 31 BD 02 CF andi $sp, $t5, 0x2cf
0x0000000000001da0: 3C 13 02 A1 lui $s3, 0x2a1
0x0000000000001da4: 02 D6 E8 22 sub $sp, $s6, $s6
0x0000000000001da8: 00 00 00 00 nop
0x0000000000001dac: 03 BD 70 2A slt $t6, $sp, $sp
0x0000000000001db0: 00 00 00 00 nop
0x0000000000001db4: 00 00 00 00 nop
0x0000000000001db8: 01 CE 00 19 multu $t6, $t6
0x0000000000001dbc: 00 00 00 00 nop
0x0000000000001dc0: 36 AF 11 83 ori $t7, $s5, 0x1183
0x0000000000001dc4: 35 E6 43 96 ori $a2, $t7, 0x4396
0x0000000000001dc8: 00 00 00 00 nop
0x0000000000001dcc: 00 C6 00 24 and $zero, $a2, $a2
0x0000000000001dd0: 00 00 00 00 nop
0x0000000000001dd4: 37 7D A4 3A ori $sp, $k1, 0xa43a
0x0000000000001dd8: 22 8E 01 FE addi $t6, $s4, 0x1fe
0x0000000000001ddc: 37 AE 0D 2F ori $t6, $sp, 0xd2f
0x0000000000001de0: 00 00 00 00 nop
0x0000000000001de4: 00 00 00 00 nop
0x0000000000001de8: 35 C3 E6 92 ori $v1, $t6, 0xe692
0x0000000000001dec: 00 00 00 00 nop
0x0000000000001df0: 34 64 35 14 ori $a0, $v1, 0x3514
0x0000000000001df4: 00 00 00 00 nop
0x0000000000001df8: 00 00 00 00 nop
0x0000000000001dfc: 34 81 A7 40 ori $at, $a0, 0xa740
0x0000000000001e00: 00 00 00 00 nop
0x0000000000001e04: 34 24 15 D9 ori $a0, $at, 0x15d9
0x0000000000001e08: 00 00 00 00 nop
0x0000000000001e0c: 32 A9 00 73 andi $t1, $s5, 0x73
0x0000000000001e10: 34 97 2A 55 ori $s7, $a0, 0x2a55
0x0000000000001e14: 00 00 00 00 nop
0x0000000000001e18: 3C 1E 03 C6 lui $fp, 0x3c6
0x0000000000001e1c: 02 F7 00 18 mult $s7, $s7
0x0000000000001e20: 00 00 00 00 nop
0x0000000000001e24: 00 00 00 00 nop
0x0000000000001e28: 02 10 50 22 sub $t2, $s0, $s0
0x0000000000001e2c: 35 E4 00 97 ori $a0, $t7, 0x97
0x0000000000001e30: 35 58 39 16 ori $t8, $t2, 0x3916
0x0000000000001e34: 3C 09 03 4F lui $t1, 0x34f
0x0000000000001e38: 37 04 65 67 ori $a0, $t8, 0x6567
0x0000000000001e3c: 00 84 C0 25 or $t8, $a0, $a0
0x0000000000001e40: 00 00 00 00 nop
0x0000000000001e44: 37 03 A8 AE ori $v1, $t8, 0xa8ae
0x0000000000001e48: 23 67 00 3E addi $a3, $k1, 0x3e
0x0000000000001e4c: 34 69 F9 A5 ori $t1, $v1, 0xf9a5
0x0000000000001e50: 00 00 00 00 nop
0x0000000000001e54: 33 A5 00 6C andi $a1, $sp, 0x6c
0x0000000000001e58: 01 29 28 22 sub $a1, $t1, $t1
0x0000000000001e5c: 34 B7 77 46 ori $s7, $a1, 0x7746
0x0000000000001e60: 00 00 00 00 nop
0x0000000000001e64: 00 00 00 00 nop
0x0000000000001e68: 02 F7 18 25 or $v1, $s7, $s7
0x0000000000001e6c: 31 30 03 44 andi $s0, $t1, 0x344
0x0000000000001e70: 00 00 00 00 nop
0x0000000000001e74: 00 60 00 11 mthi $v1
0x0000000000001e78: 00 00 00 00 nop
0x0000000000001e7c: 00 00 00 00 nop
0x0000000000001e80: 35 23 18 ED ori $v1, $t1, 0x18ed
0x0000000000001e84: 00 63 50 20 add $t2, $v1, $v1
0x0000000000001e88: 35 55 66 54 ori $s5, $t2, 0x6654
0x0000000000001e8c: 23 6E 00 C7 addi $t6, $k1, 0xc7
0x0000000000001e90: 21 86 00 E5 addi $a2, $t4, 0xe5
0x0000000000001e94: 02 B5 40 20 add $t0, $s5, $s5
0x0000000000001e98: 00 00 00 00 nop
0x0000000000001e9c: 00 00 00 00 nop
0x0000000000001ea0: 01 08 00 18 mult $t0, $t0
0x0000000000001ea4: 00 00 00 00 nop
0x0000000000001ea8: 30 F6 02 9F andi $s6, $a3, 0x29f
0x0000000000001eac: 34 32 24 B5 ori $s2, $at, 0x24b5
0x0000000000001eb0: 00 00 00 00 nop
0x0000000000001eb4: 00 00 00 00 nop
0x0000000000001eb8: 02 52 B8 2B sltu $s7, $s2, $s2
0x0000000000001ebc: 00 00 00 00 nop
0x0000000000001ec0: 02 F7 68 25 or $t5, $s7, $s7
0x0000000000001ec4: 01 AD D8 24 and $k1, $t5, $t5
0x0000000000001ec8: 03 7B E8 20 add $sp, $k1, $k1
0x0000000000001ecc: 00 00 00 00 nop
0x0000000000001ed0: 3C 05 03 23 lui $a1, 0x323
0x0000000000001ed4: 03 BD 20 24 and $a0, $sp, $sp
0x0000000000001ed8: 00 00 00 00 nop
0x0000000000001edc: 00 00 00 00 nop
0x0000000000001ee0: 00 84 38 20 add $a3, $a0, $a0
0x0000000000001ee4: 32 DA 01 8F andi $k0, $s6, 0x18f
0x0000000000001ee8: 00 E7 A0 2A slt $s4, $a3, $a3
0x0000000000001eec: 00 00 00 00 nop
0x0000000000001ef0: 02 94 00 18 mult $s4, $s4
0x0000000000001ef4: 36 98 19 1C ori $t8, $s4, 0x191c
0x0000000000001ef8: 37 08 F7 2C ori $t0, $t8, 0xf72c
0x0000000000001efc: 00 00 00 00 nop
0x0000000000001f00: 33 53 02 1E andi $s3, $k0, 0x21e
0x0000000000001f04: 35 01 A7 6F ori $at, $t0, 0xa76f
0x0000000000001f08: 00 00 00 00 nop
0x0000000000001f0c: 00 21 38 25 or $a3, $at, $at
0x0000000000001f10: 00 E7 E0 22 sub $gp, $a3, $a3
0x0000000000001f14: 37 8E 47 13 ori $t6, $gp, 0x4713
0x0000000000001f18: 01 CE 00 1A div $zero, $t6, $t6
0x0000000000001f1c: 00 00 00 00 nop
0x0000000000001f20: 00 00 00 00 nop
0x0000000000001f24: 35 9E 12 A2 ori $fp, $t4, 0x12a2
0x0000000000001f28: 00 00 00 00 nop
0x0000000000001f2c: 00 00 00 00 nop
0x0000000000001f30: 37 D5 5A 82 ori $s5, $fp, 0x5a82
0x0000000000001f34: 36 A3 F5 79 ori $v1, $s5, 0xf579
0x0000000000001f38: 00 63 00 18 mult $v1, $v1
0x0000000000001f3c: 00 00 00 00 nop
0x0000000000001f40: 34 A3 EA 7D ori $v1, $a1, 0xea7d
0x0000000000001f44: 00 00 00 00 nop
0x0000000000001f48: 34 7E 04 F8 ori $fp, $v1, 0x4f8
0x0000000000001f4c: 36 88 01 10 ori $t0, $s4, 0x110
0x0000000000001f50: 30 93 01 49 andi $s3, $a0, 0x149
0x0000000000001f54: 37 C6 91 43 ori $a2, $fp, 0x9143
0x0000000000001f58: 00 00 00 00 nop
0x0000000000001f5c: 00 00 00 00 nop
0x0000000000001f60: 34 DE FE 2E ori $fp, $a2, 0xfe2e
0x0000000000001f64: 00 00 00 00 nop
0x0000000000001f68: 00 00 00 00 nop
0x0000000000001f6c: 03 DE 00 1B divu $zero, $fp, $fp
0x0000000000001f70: 36 A6 8D 57 ori $a2, $s5, 0x8d57
0x0000000000001f74: 00 C6 E8 2B sltu $sp, $a2, $a2
0x0000000000001f78: 3C 06 02 2A lui $a2, 0x22a
0x0000000000001f7c: 3C 04 02 DD lui $a0, 0x2dd
0x0000000000001f80: 03 BD 00 22 sub $zero, $sp, $sp
0x0000000000001f84: 02 E2 C8 24 and $t9, $s7, $v0
0x0000000000001f88: 37 2F C1 ED ori $t7, $t9, 0xc1ed
0x0000000000001f8c: 3C 0F 01 86 lui $t7, 0x186
0x0000000000001f90: 35 E4 6E 48 ori $a0, $t7, 0x6e48
0x0000000000001f94: 00 00 00 00 nop
0x0000000000001f98: 00 00 00 00 nop
0x0000000000001f9c: 34 00 26 27 ori $zero, $zero, 0x2627
0x0000000000001fa0: 34 01 1D 55 ori $at, $zero, 0x1d55
0x0000000000001fa4: 34 02 0F A3 ori $v0, $zero, 0xfa3
0x0000000000001fa8: 34 03 01 9D ori $v1, $zero, 0x19d
0x0000000000001fac: 34 04 06 E3 ori $a0, $zero, 0x6e3
0x0000000000001fb0: 34 05 11 07 ori $a1, $zero, 0x1107
0x0000000000001fb4: 34 06 0E 54 ori $a2, $zero, 0xe54
0x0000000000001fb8: 34 07 09 EC ori $a3, $zero, 0x9ec
0x0000000000001fbc: 34 08 04 80 ori $t0, $zero, 0x480
0x0000000000001fc0: 34 09 1A 35 ori $t1, $zero, 0x1a35
0x0000000000001fc4: 34 0A 06 FE ori $t2, $zero, 0x6fe
0x0000000000001fc8: 34 0B 0C 5C ori $t3, $zero, 0xc5c
0x0000000000001fcc: 34 0C 22 08 ori $t4, $zero, 0x2208
0x0000000000001fd0: 34 0D 0F 02 ori $t5, $zero, 0xf02
0x0000000000001fd4: 34 0E 16 40 ori $t6, $zero, 0x1640
0x0000000000001fd8: 34 0F 11 A7 ori $t7, $zero, 0x11a7
0x0000000000001fdc: 34 10 0D 1B ori $s0, $zero, 0xd1b
0x0000000000001fe0: 34 11 1C C5 ori $s1, $zero, 0x1cc5
0x0000000000001fe4: 34 12 13 2E ori $s2, $zero, 0x132e
0x0000000000001fe8: 34 13 19 B8 ori $s3, $zero, 0x19b8
0x0000000000001fec: 34 14 1C 3A ori $s4, $zero, 0x1c3a
0x0000000000001ff0: 34 15 0C 79 ori $s5, $zero, 0xc79
0x0000000000001ff4: 34 16 1F 42 ori $s6, $zero, 0x1f42
0x0000000000001ff8: 34 17 1F 8C ori $s7, $zero, 0x1f8c
0x0000000000001ffc: 34 18 18 56 ori $t8, $zero, 0x1856
0x0000000000002000: 34 19 1D D7 ori $t9, $zero, 0x1dd7
0x0000000000002004: 34 1A 20 66 ori $k0, $zero, 0x2066
0x0000000000002008: 34 1B 1F 7E ori $k1, $zero, 0x1f7e
0x000000000000200c: 34 1C 0D BD ori $gp, $zero, 0xdbd
0x0000000000002010: 34 1D 1E 77 ori $sp, $zero, 0x1e77
0x0000000000002014: 34 1E 1F F7 ori $fp, $zero, 0x1ff7
0x0000000000002018: 34 1F 21 2A ori $ra, $zero, 0x212a
0x000000000000201c: 01 80 00 11 mthi $t4
0x0000000000002020: 00 00 00 00 nop
0x0000000000002024: 01 2C B0 2B sltu $s6, $t1, $t4
0x0000000000002028: 01 4A 38 22 sub $a3, $t2, $t2
0x000000000000202c: 00 E7 B0 24 and $s6, $a3, $a3
0x0000000000002030: 00 00 00 00 nop
0x0000000000002034: 02 07 80 2A slt $s0, $s0, $a3
0x0000000000002038: 02 D6 08 22 sub $at, $s6, $s6
0x000000000000203c: 00 21 08 20 add $at, $at, $at
0x0000000000002040: 00 00 00 00 nop
0x0000000000002044: 01 1A 00 1B divu $zero, $t0, $k0
0x0000000000002048: 00 21 F0 24 and $fp, $at, $at
0x000000000000204c: 00 00 00 00 nop
0x0000000000002050: 00 00 00 00 nop
0x0000000000002054: 03 DE 48 2B sltu $t1, $fp, $fp
0x0000000000002058: 00 00 00 00 nop
0x000000000000205c: 01 29 E0 22 sub $gp, $t1, $t1
0x0000000000002060: 00 00 00 00 nop
0x0000000000002064: 00 00 00 00 nop
0x0000000000002068: 03 9C 30 2A slt $a2, $gp, $gp
0x000000000000206c: 00 C6 00 18 mult $a2, $a2
0x0000000000002070: 03 FF E0 22 sub $gp, $ra, $ra
0x0000000000002074: 00 0C 50 22 neg $t2, $t4
0x0000000000002078: 03 9C 98 25 or $s3, $gp, $gp
0x000000000000207c: 02 60 00 11 mthi $s3
0x0000000000002080: 00 00 00 00 nop
0x0000000000002084: 02 52 38 2B sltu $a3, $s2, $s2
0x0000000000002088: 00 E7 68 20 add $t5, $a3, $a3
0x000000000000208c: 00 00 00 00 nop
0x0000000000002090: 01 A0 00 13 mtlo $t5
0x0000000000002094: 02 94 D0 20 add $k0, $s4, $s4
0x0000000000002098: 00 00 00 00 nop
0x000000000000209c: 00 00 00 00 nop
0x00000000000020a0: 03 5A 00 24 and $zero, $k0, $k0
0x00000000000020a4: 00 C9 80 22 sub $s0, $a2, $t1
0x00000000000020a8: 00 00 00 00 nop
0x00000000000020ac: 00 00 00 11 mthi $zero
0x00000000000020b0: 02 10 08 20 add $at, $s0, $s0
0x00000000000020b4: 00 09 C0 2A slt $t8, $zero, $t1
0x00000000000020b8: 01 20 00 11 mthi $t1
0x00000000000020bc: 00 21 00 19 multu $at, $at
0x00000000000020c0: 01 6B 78 25 or $t7, $t3, $t3
0x00000000000020c4: 01 EF C0 22 sub $t8, $t7, $t7
0x00000000000020c8: 00 00 00 00 nop
0x00000000000020cc: 01 D8 68 24 and $t5, $t6, $t8
0x00000000000020d0: 03 18 30 25 or $a2, $t8, $t8
0x00000000000020d4: 00 C6 30 2B sltu $a2, $a2, $a2
0x00000000000020d8: 03 E6 78 24 and $t7, $ra, $a2
0x00000000000020dc: 00 00 00 00 nop
0x00000000000020e0: 00 C6 08 25 or $at, $a2, $a2
0x00000000000020e4: 00 00 00 10 mfhi $zero
0x00000000000020e8: 03 62 A0 20 add $s4, $k1, $v0
0x00000000000020ec: 00 00 00 00 nop
0x00000000000020f0: 03 28 00 19 multu $t9, $t0
0x00000000000020f4: 00 00 90 10 mfhi $s2
0x00000000000020f8: 00 00 00 00 nop
0x00000000000020fc: 00 BF 00 18 mult $a1, $ra
0x0000000000002100: 02 52 08 2A slt $at, $s2, $s2
0x0000000000002104: 00 21 90 24 and $s2, $at, $at
0x0000000000002108: 02 52 18 24 and $v1, $s2, $s2
0x000000000000210c: 00 00 00 00 nop
0x0000000000002110: 00 00 00 00 nop
0x0000000000002114: 00 63 80 25 or $s0, $v1, $v1
0x0000000000002118: 00 00 00 00 nop
0x000000000000211c: 02 10 18 24 and $v1, $s0, $s0
0x0000000000002120: 00 63 68 2B sltu $t5, $v1, $v1
0x0000000000002124: 00 00 00 00 nop
0x0000000000002128: 00 00 00 00 nop
0x000000000000212c: 00 00 A8 12 mflo $s5
0x0000000000002130: 00 C0 00 11 mthi $a2
0x0000000000002134: 00 00 00 00 nop
0x0000000000002138: 02 A0 00 11 mthi $s5
0x000000000000213c: 00 00 00 00 nop
0x0000000000002140: 00 42 A8 22 sub $s5, $v0, $v0
0x0000000000002144: 02 3B 50 25 or $t2, $s1, $k1
0x0000000000002148: 00 00 00 00 nop
0x000000000000214c: 00 00 00 00 nop
0x0000000000002150: 00 00 00 00 nop
0x0000000000002154: 03 DE 00 18 mult $fp, $fp
0x0000000000002158: 03 A0 00 11 mthi $sp
0x000000000000215c: 00 00 00 00 nop
0x0000000000002160: 00 E7 28 22 sub $a1, $a3, $a3
0x0000000000002164: 00 00 00 00 nop
0x0000000000002168: 00 A5 A0 20 add $s4, $a1, $a1
0x000000000000216c: 02 94 C8 24 and $t9, $s4, $s4
0x0000000000002170: 03 39 B0 22 sub $s6, $t9, $t9
0x0000000000002174: 03 FB 78 20 add $t7, $ra, $k1
0x0000000000002178: 00 00 00 00 nop
0x000000000000217c: 02 D6 00 18 mult $s6, $s6
0x0000000000002180: 00 00 00 00 nop
0x0000000000002184: 01 40 00 13 mtlo $t2
0x0000000000002188: 01 80 00 13 mtlo $t4
0x000000000000218c: 00 00 00 00 nop
0x0000000000002190: 00 00 00 00 nop
0x0000000000002194: 00 42 00 25 or $zero, $v0, $v0
0x0000000000002198: 02 FE 78 2A slt $t7, $s7, $fp
0x000000000000219c: 00 00 00 00 nop
0x00000000000021a0: 01 EF A8 2B sltu $s5, $t7, $t7
0x00000000000021a4: 02 B5 F8 22 sub $ra, $s5, $s5
0x00000000000021a8: 03 DA A0 2B sltu $s4, $fp, $k0
0x00000000000021ac: 00 00 00 00 nop
0x00000000000021b0: 03 FF 40 25 or $t0, $ra, $ra
0x00000000000021b4: 00 00 00 00 nop
0x00000000000021b8: 01 00 00 13 mtlo $t0
0x00000000000021bc: 00 00 00 00 nop
0x00000000000021c0: 01 8C E8 25 or $sp, $t4, $t4
0x00000000000021c4: 01 5B B8 2B sltu $s7, $t2, $k1
0x00000000000021c8: 03 BD B8 24 and $s7, $sp, $sp
0x00000000000021cc: 00 00 00 00 nop
0x00000000000021d0: 00 00 00 00 nop
0x00000000000021d4: 02 F7 88 20 add $s1, $s7, $s7
0x00000000000021d8: 00 00 00 00 nop
0x00000000000021dc: 02 31 B0 24 and $s6, $s1, $s1
0x00000000000021e0: 02 D6 00 19 multu $s6, $s6
0x00000000000021e4: 00 00 00 00 nop
0x00000000000021e8: 02 10 98 25 or $s3, $s0, $s0
0x00000000000021ec: 02 60 00 11 mthi $s3
0x00000000000021f0: 00 F4 40 20 add $t0, $a3, $s4
0x00000000000021f4: 00 E0 00 11 mthi $a3
0x00000000000021f8: 00 00 00 00 nop
0x00000000000021fc: 00 00 00 00 nop
0x0000000000002200: 00 00 00 00 nop
0x0000000000002204: 00 00 00 00 nop
0x0000000000002208: 01 29 B8 2B sltu $s7, $t1, $t1
0x000000000000220c: 00 00 00 00 nop
0x0000000000002210: 02 F7 98 2B sltu $s3, $s7, $s7
0x0000000000002214: 00 00 00 00 nop
0x0000000000002218: 00 00 00 00 nop
0x000000000000221c: 02 73 00 18 mult $s3, $s3
0x0000000000002220: 00 00 00 00 nop
0x0000000000002224: 01 4A 00 2A slt $zero, $t2, $t2
0x0000000000002228: 00 00 00 00 nop
0x000000000000222c: 01 FA 40 2B sltu $t0, $t7, $k0
0x0000000000002230: 01 08 58 22 sub $t3, $t0, $t0
0x0000000000002234: 00 00 00 00 nop
0x0000000000002238: 00 2E 00 2A slt $zero, $at, $t6
0x000000000000223c: 01 6B C0 25 or $t8, $t3, $t3
0x0000000000002240: 00 00 00 00 nop
0x0000000000002244: 03 18 C0 20 add $t8, $t8, $t8
0x0000000000002248: 03 18 70 24 and $t6, $t8, $t8
0x000000000000224c: 01 CE C0 22 sub $t8, $t6, $t6
0x0000000000002250: 03 18 F8 2A slt $ra, $t8, $t8
0x0000000000002254: 00 00 00 00 nop
0x0000000000002258: 03 FF 38 2B sltu $a3, $ra, $ra
0x000000000000225c: 02 20 00 11 mthi $s1
0x0000000000002260: 00 00 00 00 nop
0x0000000000002264: 00 E7 18 25 or $v1, $a3, $a3
0x0000000000002268: 00 63 70 22 sub $t6, $v1, $v1
0x000000000000226c: 01 DE 98 20 add $s3, $t6, $fp
0x0000000000002270: 01 CE 98 24 and $s3, $t6, $t6
0x0000000000002274: 02 73 00 18 mult $s3, $s3
0x0000000000002278: 03 5A 78 24 and $t7, $k0, $k0
0x000000000000227c: 01 E0 00 11 mthi $t7
0x0000000000002280: 01 4A 80 25 or $s0, $t2, $t2
0x0000000000002284: 02 10 28 2B sltu $a1, $s0, $s0
0x0000000000002288: 00 00 00 00 nop
0x000000000000228c: 02 F7 C0 20 add $t8, $s7, $s7
0x0000000000002290: 00 00 00 00 nop
0x0000000000002294: 00 00 F8 12 mflo $ra
0x0000000000002298: 03 18 30 24 and $a2, $t8, $t8
0x000000000000229c: 00 00 00 00 nop
0x00000000000022a0: 00 C6 28 2A slt $a1, $a2, $a2
0x00000000000022a4: 00 00 00 00 nop
0x00000000000022a8: 00 A5 78 2A slt $t7, $a1, $a1
0x00000000000022ac: 03 47 00 18 mult $k0, $a3
0x00000000000022b0: 00 00 00 00 nop
0x00000000000022b4: 01 EF B8 2A slt $s7, $t7, $t7
0x00000000000022b8: 02 F7 50 22 sub $t2, $s7, $s7
0x00000000000022bc: 00 00 00 00 nop
0x00000000000022c0: 01 2F B8 20 add $s7, $t1, $t7
0x00000000000022c4: 01 4A 00 19 multu $t2, $t2
0x00000000000022c8: 00 84 C0 25 or $t8, $a0, $a0
0x00000000000022cc: 00 00 00 00 nop
0x00000000000022d0: 00 00 00 00 nop
0x00000000000022d4: 03 18 00 25 or $zero, $t8, $t8
0x00000000000022d8: 02 A0 90 20 add $s2, $s5, $zero
0x00000000000022dc: 02 52 90 20 add $s2, $s2, $s2
0x00000000000022e0: 01 F4 A0 22 sub $s4, $t7, $s4
0x00000000000022e4: 02 52 E0 24 and $gp, $s2, $s2
0x00000000000022e8: 00 00 00 00 nop
0x00000000000022ec: 03 9C 10 24 and $v0, $gp, $gp
0x00000000000022f0: 03 78 A0 22 sub $s4, $k1, $t8
0x00000000000022f4: 00 00 00 00 nop
0x00000000000022f8: 00 42 F8 25 or $ra, $v0, $v0
0x00000000000022fc: 00 0F F0 20 add $fp, $zero, $t7
0x0000000000002300: 03 FF 18 2A slt $v1, $ra, $ra
0x0000000000002304: 00 00 00 00 nop
0x0000000000002308: 00 63 40 20 add $t0, $v1, $v1
0x000000000000230c: 00 00 00 00 nop
0x0000000000002310: 01 F2 58 2B sltu $t3, $t7, $s2
0x0000000000002314: 01 08 18 24 and $v1, $t0, $t0
0x0000000000002318: 00 00 00 00 nop
0x000000000000231c: 00 00 00 00 nop
0x0000000000002320: 00 63 00 20 add $zero, $v1, $v1
0x0000000000002324: 01 46 98 20 add $s3, $t2, $a2
0x0000000000002328: 02 73 08 20 add $at, $s3, $s3
0x000000000000232c: 02 C4 48 22 sub $t1, $s6, $a0
0x0000000000002330: 00 00 00 00 nop
0x0000000000002334: 00 21 78 25 or $t7, $at, $at
0x0000000000002338: 00 8E 88 2A slt $s1, $a0, $t6
0x000000000000233c: 01 EF 80 22 sub $s0, $t7, $t7
0x0000000000002340: 01 90 00 18 mult $t4, $s0
0x0000000000002344: 02 CC 00 1A div $zero, $s6, $t4
0x0000000000002348: 02 10 88 2B sltu $s1, $s0, $s0
0x000000000000234c: 00 00 00 00 nop
0x0000000000002350: 00 00 00 00 nop
0x0000000000002354: 02 31 78 25 or $t7, $s1, $s1
0x0000000000002358: 03 CB 98 2B sltu $s3, $fp, $t3
0x000000000000235c: 01 EF B8 22 sub $s7, $t7, $t7
0x0000000000002360: 00 7E 80 2B sltu $s0, $v1, $fp
0x0000000000002364: 00 00 00 00 nop
0x0000000000002368: 02 E0 00 13 mtlo $s7
0x000000000000236c: 00 00 00 00 nop
0x0000000000002370: 00 00 48 12 mflo $t1
0x0000000000002374: 00 00 00 00 nop
0x0000000000002378: 00 00 00 00 nop
0x000000000000237c: 01 29 38 24 and $a3, $t1, $t1
0x0000000000002380: 00 1D F8 25 or $ra, $zero, $sp
0x0000000000002384: 00 00 00 00 nop
0x0000000000002388: 03 7B 68 2B sltu $t5, $k1, $k1
0x000000000000238c: 00 00 00 00 nop
0x0000000000002390: 01 AD 68 22 sub $t5, $t5, $t5
0x0000000000002394: 00 00 00 00 nop
0x0000000000002398: 01 AD 00 24 and $zero, $t5, $t5
0x000000000000239c: 00 00 00 00 nop
0x00000000000023a0: 00 00 00 00 nop
0x00000000000023a4: 01 1C A8 25 or $s5, $t0, $gp
0x00000000000023a8: 00 00 00 00 nop
0x00000000000023ac: 02 B5 B8 20 add $s7, $s5, $s5
0x00000000000023b0: 00 00 00 00 nop
0x00000000000023b4: 00 00 00 00 nop
0x00000000000023b8: 02 F7 B8 24 and $s7, $s7, $s7
0x00000000000023bc: 02 F7 60 22 sub $t4, $s7, $s7
0x00000000000023c0: 00 00 20 12 mflo $a0
0x00000000000023c4: 00 84 00 19 multu $a0, $a0
0x00000000000023c8: 00 00 00 00 nop
0x00000000000023cc: 00 18 50 25 or $t2, $zero, $t8
0x00000000000023d0: 02 F7 50 22 sub $t2, $s7, $s7
0x00000000000023d4: 01 4A A8 24 and $s5, $t2, $t2
0x00000000000023d8: 00 00 E0 12 mflo $gp
0x00000000000023dc: 02 B5 20 22 sub $a0, $s5, $s5
0x00000000000023e0: 00 00 00 00 nop
0x00000000000023e4: 00 00 00 00 nop
0x00000000000023e8: 00 00 F0 12 mflo $fp
0x00000000000023ec: 01 24 48 2A slt $t1, $t1, $a0
0x00000000000023f0: 00 00 00 00 nop
0x00000000000023f4: 03 DE 00 22 sub $zero, $fp, $fp
0x00000000000023f8: 03 BD E0 24 and $gp, $sp, $sp
0x00000000000023fc: 03 9C F8 20 add $ra, $gp, $gp
0x0000000000002400: 01 B6 E8 24 and $sp, $t5, $s6
0x0000000000002404: 03 E0 00 13 mtlo $ra
0x0000000000002408: 00 00 00 00 nop
0x000000000000240c: 00 A5 10 24 and $v0, $a1, $a1
0x0000000000002410: 00 00 00 00 nop
0x0000000000002414: 01 EF 08 25 or $at, $t7, $t7
0x0000000000002418: 01 F4 F8 2B sltu $ra, $t7, $s4
0x000000000000241c: 00 21 58 20 add $t3, $at, $at
0x0000000000002420: 00 00 00 00 nop
0x0000000000002424: 00 D1 80 25 or $s0, $a2, $s1
0x0000000000002428: 01 60 00 13 mtlo $t3
0x000000000000242c: 00 00 00 00 nop
0x0000000000002430: 00 00 00 00 nop
0x0000000000002434: 02 10 30 2A slt $a2, $s0, $s0
0x0000000000002438: 00 C6 F0 22 sub $fp, $a2, $a2
0x000000000000243c: 00 00 00 00 nop
0x0000000000002440: 00 00 00 00 nop
0x0000000000002444: 03 DE 40 25 or $t0, $fp, $fp
0x0000000000002448: 01 00 00 13 mtlo $t0
0x000000000000244c: 02 10 D8 20 add $k1, $s0, $s0
0x0000000000002450: 03 7B 08 25 or $at, $k1, $k1
0x0000000000002454: 00 00 00 00 nop
0x0000000000002458: 00 21 10 25 or $v0, $at, $at
0x000000000000245c: 00 42 00 24 and $zero, $v0, $v0
0x0000000000002460: 03 9C D8 20 add $k1, $gp, $gp
0x0000000000002464: 03 7B 88 22 sub $s1, $k1, $k1
0x0000000000002468: 03 7B E0 25 or $gp, $k1, $k1
0x000000000000246c: 02 31 58 24 and $t3, $s1, $s1
0x0000000000002470: 00 00 00 00 nop
0x0000000000002474: 01 6B 20 24 and $a0, $t3, $t3
0x0000000000002478: 00 00 00 00 nop
0x000000000000247c: 00 00 00 00 nop
0x0000000000002480: 00 84 10 20 add $v0, $a0, $a0
0x0000000000002484: 00 00 00 00 nop
0x0000000000002488: 00 42 20 2B sltu $a0, $v0, $v0
0x000000000000248c: 00 00 98 12 mflo $s3
0x0000000000002490: 00 00 00 00 nop
0x0000000000002494: 00 4C B8 20 add $s7, $v0, $t4
0x0000000000002498: 00 00 E8 10 mfhi $sp
0x000000000000249c: 01 FC 78 25 or $t7, $t7, $gp
0x00000000000024a0: 00 00 00 00 nop
0x00000000000024a4: 03 BD C8 25 or $t9, $sp, $sp
0x00000000000024a8: 00 00 00 00 nop
0x00000000000024ac: 00 00 60 10 mfhi $t4
0x00000000000024b0: 00 00 38 10 mfhi $a3
0x00000000000024b4: 00 A0 00 13 mtlo $a1
0x00000000000024b8: 00 00 00 00 nop
0x00000000000024bc: 00 E0 00 13 mtlo $a3
0x00000000000024c0: 01 6B 70 2A slt $t6, $t3, $t3
0x00000000000024c4: 01 CE A8 22 sub $s5, $t6, $t6
0x00000000000024c8: 00 00 00 00 nop
0x00000000000024cc: 02 B5 D0 24 and $k0, $s5, $s5
0x00000000000024d0: 00 00 00 00 nop
0x00000000000024d4: 03 40 00 13 mtlo $k0
0x00000000000024d8: 00 00 00 00 nop
0x00000000000024dc: 02 31 F8 24 and $ra, $s1, $s1
0x00000000000024e0: 00 00 00 00 nop
0x00000000000024e4: 00 00 00 00 nop
0x00000000000024e8: 03 FF 08 25 or $at, $ra, $ra
0x00000000000024ec: 00 00 00 10 mfhi $zero
0x00000000000024f0: 00 00 00 00 nop
0x00000000000024f4: 01 D2 B8 25 or $s7, $t6, $s2
0x00000000000024f8: 01 F0 00 18 mult $t7, $s0
0x00000000000024fc: 00 00 00 00 nop
0x0000000000002500: 02 F7 60 25 or $t4, $s7, $s7
0x0000000000002504: 00 00 00 00 nop
0x0000000000002508: 00 00 28 12 mflo $a1
0x000000000000250c: 00 A5 E0 20 add $gp, $a1, $a1
0x0000000000002510: 03 9C 58 24 and $t3, $gp, $gp
0x0000000000002514: 00 00 00 00 nop
0x0000000000002518: 01 6B 00 19 multu $t3, $t3
0x000000000000251c: 02 2E B8 22 sub $s7, $s1, $t6
0x0000000000002520: 00 00 90 12 mflo $s2
0x0000000000002524: 02 52 18 22 sub $v1, $s2, $s2
0x0000000000002528: 00 63 28 24 and $a1, $v1, $v1
0x000000000000252c: 00 00 00 00 nop
0x0000000000002530: 00 00 00 00 nop
0x0000000000002534: 00 A5 B8 24 and $s7, $a1, $a1
0x0000000000002538: 02 F7 A0 20 add $s4, $s7, $s7
0x000000000000253c: 00 00 00 00 nop
0x0000000000002540: 00 00 00 00 nop
0x0000000000002544: 00 00 00 00 nop
0x0000000000002548: 00 21 10 22 sub $v0, $at, $at
0x000000000000254c: 00 00 00 00 nop
0x0000000000002550: 03 EB 90 2B sltu $s2, $ra, $t3
0x0000000000002554: 00 42 30 2A slt $a2, $v0, $v0
0x0000000000002558: 03 02 60 20 add $t4, $t8, $v0
0x000000000000255c: 00 C6 10 24 and $v0, $a2, $a2
0x0000000000002560: 00 00 00 00 nop
0x0000000000002564: 00 42 08 2A slt $at, $v0, $v0
0x0000000000002568: 00 00 00 00 nop
0x000000000000256c: 00 21 E0 20 add $gp, $at, $at
0x0000000000002570: 00 B6 00 18 mult $a1, $s6
0x0000000000002574: 00 00 00 00 nop
0x0000000000002578: 03 9C D8 24 and $k1, $gp, $gp
0x000000000000257c: 02 D9 00 18 mult $s6, $t9
0x0000000000002580: 00 00 00 00 nop
0x0000000000002584: 00 00 48 10 mfhi $t1
0x0000000000002588: 01 29 F8 22 sub $ra, $t1, $t1
0x000000000000258c: 00 00 00 00 nop
0x0000000000002590: 03 FF 00 19 multu $ra, $ra
0x0000000000002594: 03 20 00 13 mtlo $t9
0x0000000000002598: 03 9C C0 2B sltu $t8, $gp, $gp
0x000000000000259c: 00 00 00 00 nop
0x00000000000025a0: 01 08 38 25 or $a3, $t0, $t0
0x00000000000025a4: 00 E7 F0 25 or $fp, $a3, $a3
0x00000000000025a8: 03 DE D8 20 add $k1, $fp, $fp
0x00000000000025ac: 00 00 00 00 nop
0x00000000000025b0: 03 7B A0 24 and $s4, $k1, $k1
0x00000000000025b4: 00 9B 38 2B sltu $a3, $a0, $k1
0x00000000000025b8: 00 00 00 00 nop
0x00000000000025bc: 02 80 00 11 mthi $s4
0x00000000000025c0: 00 00 00 00 nop
0x00000000000025c4: 00 00 88 10 mfhi $s1
0x00000000000025c8: 03 BD 10 24 and $v0, $sp, $sp
0x00000000000025cc: 00 00 00 00 nop
0x00000000000025d0: 00 42 00 19 multu $v0, $v0
0x00000000000025d4: 02 F7 00 18 mult $s7, $s7
0x00000000000025d8: 00 00 00 00 nop
0x00000000000025dc: 01 08 F8 25 or $ra, $t0, $t0
0x00000000000025e0: 03 FF E8 20 add $sp, $ra, $ra
0x00000000000025e4: 01 07 98 24 and $s3, $t0, $a3
0x00000000000025e8: 00 00 00 00 nop
0x00000000000025ec: 03 BD 60 2A slt $t4, $sp, $sp
0x00000000000025f0: 00 00 00 00 nop
0x00000000000025f4: 01 8C 00 18 mult $t4, $t4
0x00000000000025f8: 00 00 A0 10 mfhi $s4
0x00000000000025fc: 02 94 00 18 mult $s4, $s4
0x0000000000002600: 00 9B 38 25 or $a3, $a0, $k1
0x0000000000002604: 00 00 00 00 nop
0x0000000000002608: 34 00 04 52 ori $zero, $zero, 0x452
0x000000000000260c: 34 01 13 AD ori $at, $zero, 0x13ad
0x0000000000002610: 34 02 10 2C ori $v0, $zero, 0x102c
0x0000000000002614: 34 03 0F E5 ori $v1, $zero, 0xfe5
0x0000000000002618: 34 04 01 BE ori $a0, $zero, 0x1be
0x000000000000261c: 34 05 0B CC ori $a1, $zero, 0xbcc
0x0000000000002620: 34 06 18 1D ori $a2, $zero, 0x181d
0x0000000000002624: 34 07 26 76 ori $a3, $zero, 0x2676
0x0000000000002628: 34 08 22 F9 ori $t0, $zero, 0x22f9
0x000000000000262c: 34 09 19 47 ori $t1, $zero, 0x1947
0x0000000000002630: 34 0A 08 98 ori $t2, $zero, 0x898
0x0000000000002634: 34 0B 01 14 ori $t3, $zero, 0x114
0x0000000000002638: 34 0C 00 55 ori $t4, $zero, 0x55
0x000000000000263c: 34 0D 16 18 ori $t5, $zero, 0x1618
0x0000000000002640: 34 0E 19 88 ori $t6, $zero, 0x1988
0x0000000000002644: 34 0F 23 A0 ori $t7, $zero, 0x23a0
0x0000000000002648: 34 10 14 6D ori $s0, $zero, 0x146d
0x000000000000264c: 34 11 19 05 ori $s1, $zero, 0x1905
0x0000000000002650: 34 12 0B C2 ori $s2, $zero, 0xbc2
0x0000000000002654: 34 13 20 82 ori $s3, $zero, 0x2082
0x0000000000002658: 34 14 0C 7A ori $s4, $zero, 0xc7a
0x000000000000265c: 34 15 07 14 ori $s5, $zero, 0x714
0x0000000000002660: 34 16 20 66 ori $s6, $zero, 0x2066
0x0000000000002664: 34 17 20 99 ori $s7, $zero, 0x2099
0x0000000000002668: 34 18 26 EA ori $t8, $zero, 0x26ea
0x000000000000266c: 34 19 00 74 ori $t9, $zero, 0x74
0x0000000000002670: 34 1A 1C 86 ori $k0, $zero, 0x1c86
0x0000000000002674: 34 1B 02 CB ori $k1, $zero, 0x2cb
0x0000000000002678: 34 1C 24 43 ori $gp, $zero, 0x2443
0x000000000000267c: 34 1D 24 6C ori $sp, $zero, 0x246c
0x0000000000002680: 34 1E 02 20 ori $fp, $zero, 0x220
0x0000000000002684: 34 1F 1D 33 ori $ra, $zero, 0x1d33
0x0000000000002688: 01 BF C8 2B sltu $t9, $t5, $ra
0x000000000000268c: 03 39 00 1A div $zero, $t9, $t9
0x0000000000002690: 00 00 00 00 nop
0x0000000000002694: 01 29 F8 22 sub $ra, $t1, $t1
0x0000000000002698: 00 4D F0 22 sub $fp, $v0, $t5
0x000000000000269c: 00 D0 E0 22 sub $gp, $a2, $s0
0x00000000000026a0: 03 FF 80 24 and $s0, $ra, $ra
0x00000000000026a4: 00 00 20 12 mflo $a0
0x00000000000026a8: 00 80 00 13 mtlo $a0
0x00000000000026ac: 01 EF E0 20 add $gp, $t7, $t7
0x00000000000026b0: 01 5E 08 25 or $at, $t2, $fp
0x00000000000026b4: 00 00 00 00 nop
0x00000000000026b8: 03 9C 00 1B divu $zero, $gp, $gp
0x00000000000026bc: 00 00 00 00 nop
0x00000000000026c0: 00 88 50 25 or $t2, $a0, $t0
0x00000000000026c4: 00 63 00 19 multu $v1, $v1
0x00000000000026c8: 00 00 00 00 nop
0x00000000000026cc: 03 A2 68 20 add $t5, $sp, $v0
0x00000000000026d0: 02 94 38 2A slt $a3, $s4, $s4
0x00000000000026d4: 00 00 00 00 nop
0x00000000000026d8: 00 E7 E0 2A slt $gp, $a3, $a3
0x00000000000026dc: 00 00 00 00 nop
0x00000000000026e0: 03 9C 28 25 or $a1, $gp, $gp
0x00000000000026e4: 00 A5 F8 22 sub $ra, $a1, $a1
0x00000000000026e8: 03 FF C0 20 add $t8, $ra, $ra
0x00000000000026ec: 02 19 38 25 or $a3, $s0, $t9
0x00000000000026f0: 00 00 00 00 nop
0x00000000000026f4: 03 18 18 25 or $v1, $t8, $t8
0x00000000000026f8: 00 00 40 12 mflo $t0
0x00000000000026fc: 00 00 70 10 mfhi $t6
0x0000000000002700: 00 00 00 00 nop
0x0000000000002704: 00 00 00 00 nop
0x0000000000002708: 01 CE 00 18 mult $t6, $t6
0x000000000000270c: 00 00 00 00 nop
0x0000000000002710: 03 00 00 13 mtlo $t8
0x0000000000002714: 03 39 A8 22 sub $s5, $t9, $t9
0x0000000000002718: 00 D2 50 24 and $t2, $a2, $s2
0x000000000000271c: 02 B5 48 24 and $t1, $s5, $s5
0x0000000000002720: 00 00 00 00 nop
0x0000000000002724: 01 20 00 13 mtlo $t1
0x0000000000002728: 00 00 00 00 nop
0x000000000000272c: 01 BC 58 24 and $t3, $t5, $gp
0x0000000000002730: 02 31 88 24 and $s1, $s1, $s1
0x0000000000002734: 00 00 00 00 nop
0x0000000000002738: 02 31 28 24 and $a1, $s1, $s1
0x000000000000273c: 00 00 00 00 nop
0x0000000000002740: 00 00 10 10 mfhi $v0
0x0000000000002744: 00 00 00 00 nop
0x0000000000002748: 00 00 00 00 nop
0x000000000000274c: 00 42 38 24 and $a3, $v0, $v0
0x0000000000002750: 00 00 00 00 nop
0x0000000000002754: 02 03 80 22 sub $s0, $s0, $v1
0x0000000000002758: 00 E7 68 22 sub $t5, $a3, $a3
0x000000000000275c: 03 E0 00 13 mtlo $ra
0x0000000000002760: 00 53 A0 24 and $s4, $v0, $s3
0x0000000000002764: 00 00 A0 10 mfhi $s4
0x0000000000002768: 00 00 00 00 nop
0x000000000000276c: 00 00 00 00 nop
0x0000000000002770: 02 80 00 11 mthi $s4
0x0000000000002774: 00 00 00 00 nop
0x0000000000002778: 01 63 C8 20 add $t9, $t3, $v1
0x000000000000277c: 02 B5 10 24 and $v0, $s5, $s5
0x0000000000002780: 00 42 28 24 and $a1, $v0, $v0
0x0000000000002784: 00 00 00 00 nop
0x0000000000002788: 00 A5 F0 2B sltu $fp, $a1, $a1
0x000000000000278c: 03 DE 60 25 or $t4, $fp, $fp
0x0000000000002790: 01 8C 00 19 multu $t4, $t4
0x0000000000002794: 00 00 E0 10 mfhi $gp
0x0000000000002798: 01 A0 00 11 mthi $t5
0x000000000000279c: 01 6B 58 20 add $t3, $t3, $t3
0x00000000000027a0: 00 00 00 00 nop
0x00000000000027a4: 01 6B 70 20 add $t6, $t3, $t3
0x00000000000027a8: 03 61 F8 2A slt $ra, $k1, $at
0x00000000000027ac: 00 00 00 00 nop
0x00000000000027b0: 01 CE E8 20 add $sp, $t6, $t6
0x00000000000027b4: 03 BD C0 24 and $t8, $sp, $sp
0x00000000000027b8: 00 00 00 00 nop
0x00000000000027bc: 00 00 00 00 nop
0x00000000000027c0: 03 18 18 2A slt $v1, $t8, $t8
0x00000000000027c4: 00 60 00 11 mthi $v1
0x00000000000027c8: 03 5A 00 1B divu $zero, $k0, $k0
0x00000000000027cc: 00 00 00 00 nop
0x00000000000027d0: 00 00 00 00 nop
0x00000000000027d4: 01 92 00 1B divu $zero, $t4, $s2
0x00000000000027d8: 01 29 D8 24 and $k1, $t1, $t1
0x00000000000027dc: 02 73 A0 2A slt $s4, $s3, $s3
0x00000000000027e0: 03 7B 08 20 add $at, $k1, $k1
0x00000000000027e4: 00 00 00 00 nop
0x00000000000027e8: 00 00 00 00 nop
0x00000000000027ec: 00 21 60 22 sub $t4, $at, $at
0x00000000000027f0: 00 00 00 00 nop
0x00000000000027f4: 02 43 00 18 mult $s2, $v1
0x00000000000027f8: 01 8C 28 20 add $a1, $t4, $t4
0x00000000000027fc: 01 8A F8 20 add $ra, $t4, $t2
0x0000000000002800: 00 00 00 00 nop
0x0000000000002804: 00 A5 20 22 sub $a0, $a1, $a1
0x0000000000002808: 00 00 00 00 nop
0x000000000000280c: 00 00 00 00 nop
0x0000000000002810: 00 84 60 2B sltu $t4, $a0, $a0
0x0000000000002814: 02 5B C0 2B sltu $t8, $s2, $k1
0x0000000000002818: 01 8C A8 25 or $s5, $t4, $t4
0x000000000000281c: 02 B5 60 24 and $t4, $s5, $s5
0x0000000000002820: 00 00 38 12 mflo $a3
0x0000000000002824: 00 00 00 00 nop
0x0000000000002828: 00 00 00 00 nop
0x000000000000282c: 01 20 00 13 mtlo $t1
0x0000000000002830: 02 8B 00 19 multu $s4, $t3
0x0000000000002834: 00 C6 A8 2B sltu $s5, $a2, $a2
0x0000000000002838: 02 B5 80 20 add $s0, $s5, $s5
0x000000000000283c: 00 00 38 12 mflo $a3
0x0000000000002840: 00 E7 D0 24 and $k0, $a3, $a3
0x0000000000002844: 03 2D 30 20 add $a2, $t9, $t5
0x0000000000002848: 00 00 00 00 nop
0x000000000000284c: 00 00 00 00 nop
0x0000000000002850: 00 42 38 22 sub $a3, $v0, $v0
0x0000000000002854: 00 00 00 00 nop
0x0000000000002858: 00 E7 08 20 add $at, $a3, $a3
0x000000000000285c: 00 00 30 10 mfhi $a2
0x0000000000002860: 00 21 F8 25 or $ra, $at, $at
0x0000000000002864: 00 00 00 00 nop
0x0000000000002868: 00 00 00 00 nop
0x000000000000286c: 03 FF 30 2A slt $a2, $ra, $ra
0x0000000000002870: 00 C6 B8 22 sub $s7, $a2, $a2
0x0000000000002874: 02 F7 D8 20 add $k1, $s7, $s7
0x0000000000002878: 03 7B 00 18 mult $k1, $k1
0x000000000000287c: 00 00 00 00 nop
0x0000000000002880: 00 C3 28 24 and $a1, $a2, $v1
0x0000000000002884: 00 00 F0 10 mfhi $fp
0x0000000000002888: 03 EF F0 2A slt $fp, $ra, $t7
0x000000000000288c: 00 00 00 00 nop
0x0000000000002890: 00 00 90 12 mflo $s2
0x0000000000002894: 02 24 B0 2B sltu $s6, $s1, $a0
0x0000000000002898: 02 52 A0 2B sltu $s4, $s2, $s2
0x000000000000289c: 02 94 00 18 mult $s4, $s4
0x00000000000028a0: 03 69 10 24 and $v0, $k1, $t1
0x00000000000028a4: 03 5A 30 2B sltu $a2, $k0, $k0
0x00000000000028a8: 00 C6 40 24 and $t0, $a2, $a2
0x00000000000028ac: 01 08 50 24 and $t2, $t0, $t0
0x00000000000028b0: 01 4A 50 2A slt $t2, $t2, $t2
0x00000000000028b4: 03 C3 C8 24 and $t9, $fp, $v1
0x00000000000028b8: 00 00 00 00 nop
0x00000000000028bc: 01 4A F0 20 add $fp, $t2, $t2
0x00000000000028c0: 00 00 98 12 mflo $s3
0x00000000000028c4: 02 73 78 2B sltu $t7, $s3, $s3
0x00000000000028c8: 01 E0 00 13 mtlo $t7
0x00000000000028cc: 00 00 00 00 nop
0x00000000000028d0: 02 52 18 20 add $v1, $s2, $s2
0x00000000000028d4: 00 00 00 00 nop
0x00000000000028d8: 00 00 00 00 nop
0x00000000000028dc: 00 00 38 12 mflo $a3
0x00000000000028e0: 00 00 D0 12 mflo $k0
0x00000000000028e4: 00 E7 28 20 add $a1, $a3, $a3
0x00000000000028e8: 00 00 00 00 nop
0x00000000000028ec: 00 00 00 00 nop
0x00000000000028f0: 00 A5 70 22 sub $t6, $a1, $a1
0x00000000000028f4: 00 00 00 00 nop
0x00000000000028f8: 01 C0 00 13 mtlo $t6
0x00000000000028fc: 00 00 00 00 nop
0x0000000000002900: 00 84 28 24 and $a1, $a0, $a0
0x0000000000002904: 00 A5 88 22 sub $s1, $a1, $a1
0x0000000000002908: 02 31 E8 20 add $sp, $s1, $s1
0x000000000000290c: 01 BF A0 22 sub $s4, $t5, $ra
0x0000000000002910: 00 00 00 00 nop
0x0000000000002914: 03 BD 90 24 and $s2, $sp, $sp
0x0000000000002918: 02 7E 40 20 add $t0, $s3, $fp
0x000000000000291c: 02 52 C0 24 and $t8, $s2, $s2
0x0000000000002920: 03 18 48 22 sub $t1, $t8, $t8
0x0000000000002924: 00 00 00 00 nop
0x0000000000002928: 00 00 00 00 nop
0x000000000000292c: 01 29 68 22 sub $t5, $t1, $t1
0x0000000000002930: 00 00 00 00 nop
0x0000000000002934: 00 00 00 00 nop
0x0000000000002938: 01 AD A8 2A slt $s5, $t5, $t5
0x000000000000293c: 00 00 10 12 mflo $v0
0x0000000000002940: 00 00 00 00 nop
0x0000000000002944: 02 B5 50 22 sub $t2, $s5, $s5
0x0000000000002948: 00 00 00 00 nop
0x000000000000294c: 00 00 00 00 nop
0x0000000000002950: 01 4A C0 2A slt $t8, $t2, $t2
0x0000000000002954: 03 18 98 24 and $s3, $t8, $t8
0x0000000000002958: 00 00 00 00 nop
0x000000000000295c: 01 56 A0 24 and $s4, $t2, $s6
0x0000000000002960: 02 73 20 22 sub $a0, $s3, $s3
0x0000000000002964: 00 A1 80 2A slt $s0, $a1, $at
0x0000000000002968: 00 00 00 00 nop
0x000000000000296c: 00 84 C0 20 add $t8, $a0, $a0
0x0000000000002970: 00 00 00 00 nop
0x0000000000002974: 03 18 90 24 and $s2, $t8, $t8
0x0000000000002978: 00 00 00 00 nop
0x000000000000297c: 02 52 A8 20 add $s5, $s2, $s2
0x0000000000002980: 02 B5 80 22 sub $s0, $s5, $s5
0x0000000000002984: 00 00 00 00 nop
0x0000000000002988: 00 00 00 00 nop
0x000000000000298c: 02 10 40 24 and $t0, $s0, $s0
0x0000000000002990: 01 08 10 22 sub $v0, $t0, $t0
0x0000000000002994: 03 5A B8 25 or $s7, $k0, $k0
0x0000000000002998: 00 00 D0 12 mflo $k0
0x000000000000299c: 00 42 98 20 add $s3, $v0, $v0
0x00000000000029a0: 00 35 D8 24 and $k1, $at, $s5
0x00000000000029a4: 02 60 00 11 mthi $s3
0x00000000000029a8: 00 A5 00 18 mult $a1, $a1
0x00000000000029ac: 01 4A A0 25 or $s4, $t2, $t2
0x00000000000029b0: 03 4F 58 25 or $t3, $k0, $t7
0x00000000000029b4: 02 94 10 20 add $v0, $s4, $s4
0x00000000000029b8: 00 40 00 11 mthi $v0
0x00000000000029bc: 00 00 00 00 nop
0x00000000000029c0: 02 52 00 19 multu $s2, $s2
0x00000000000029c4: 03 9C 28 20 add $a1, $gp, $gp
0x00000000000029c8: 00 00 00 00 nop
0x00000000000029cc: 00 00 00 00 nop
0x00000000000029d0: 00 A5 80 20 add $s0, $a1, $a1
0x00000000000029d4: 00 00 00 00 nop
0x00000000000029d8: 01 09 80 20 add $s0, $t0, $t1
0x00000000000029dc: 02 00 00 11 mthi $s0
0x00000000000029e0: 00 00 00 00 nop
0x00000000000029e4: 00 00 00 00 nop
0x00000000000029e8: 03 39 B8 25 or $s7, $t9, $t9
0x00000000000029ec: 02 67 C8 25 or $t9, $s3, $a3
0x00000000000029f0: 02 F7 10 24 and $v0, $s7, $s7
0x00000000000029f4: 01 37 50 2A slt $t2, $t1, $s7
0x00000000000029f8: 00 42 B8 2A slt $s7, $v0, $v0
0x00000000000029fc: 02 F7 80 24 and $s0, $s7, $s7
0x0000000000002a00: 00 00 00 00 nop
0x0000000000002a04: 00 00 00 00 nop
0x0000000000002a08: 02 10 60 25 or $t4, $s0, $s0
0x0000000000002a0c: 00 00 00 00 nop
0x0000000000002a10: 00 00 00 00 nop
0x0000000000002a14: 01 8C 80 20 add $s0, $t4, $t4
0x0000000000002a18: 02 52 98 22 sub $s3, $s2, $s2
0x0000000000002a1c: 02 73 68 2B sltu $t5, $s3, $s3
0x0000000000002a20: 00 00 00 00 nop
0x0000000000002a24: 01 AD 18 22 sub $v1, $t5, $t5
0x0000000000002a28: 00 63 48 25 or $t1, $v1, $v1
0x0000000000002a2c: 00 00 00 00 nop
0x0000000000002a30: 01 29 D0 20 add $k0, $t1, $t1
0x0000000000002a34: 03 5A 20 24 and $a0, $k0, $k0
0x0000000000002a38: 00 00 00 00 nop
0x0000000000002a3c: 00 84 80 24 and $s0, $a0, $a0
0x0000000000002a40: 00 00 00 00 nop
0x0000000000002a44: 02 10 18 22 sub $v1, $s0, $s0
0x0000000000002a48: 00 00 00 00 nop
0x0000000000002a4c: 00 00 00 00 nop
0x0000000000002a50: 00 63 60 20 add $t4, $v1, $v1
0x0000000000002a54: 00 00 00 00 nop
0x0000000000002a58: 01 8C 78 22 sub $t7, $t4, $t4
0x0000000000002a5c: 00 00 00 00 nop
0x0000000000002a60: 00 00 00 00 nop
0x0000000000002a64: 01 EF 18 20 add $v1, $t7, $t7
0x0000000000002a68: 00 63 08 2B sltu $at, $v1, $v1
0x0000000000002a6c: 00 00 00 00 nop
0x0000000000002a70: 00 21 E0 24 and $gp, $at, $at
0x0000000000002a74: 00 D9 C8 22 sub $t9, $a2, $t9
0x0000000000002a78: 03 9C A8 22 sub $s5, $gp, $gp
0x0000000000002a7c: 00 25 A0 25 or $s4, $at, $a1
0x0000000000002a80: 00 00 00 00 nop
0x0000000000002a84: 02 B5 48 22 sub $t1, $s5, $s5
0x0000000000002a88: 00 00 00 00 nop
0x0000000000002a8c: 01 29 C0 2A slt $t8, $t1, $t1
0x0000000000002a90: 03 18 20 2A slt $a0, $t8, $t8
0x0000000000002a94: 00 84 78 24 and $t7, $a0, $a0
0x0000000000002a98: 01 95 08 2A slt $at, $t4, $s5
0x0000000000002a9c: 00 00 00 00 nop
0x0000000000002aa0: 01 EF 70 24 and $t6, $t7, $t7
0x0000000000002aa4: 01 CE A8 24 and $s5, $t6, $t6
0x0000000000002aa8: 03 AA 38 20 add $a3, $sp, $t2
0x0000000000002aac: 02 B5 48 25 or $t1, $s5, $s5
0x0000000000002ab0: 00 00 00 00 nop
0x0000000000002ab4: 01 29 C0 24 and $t8, $t1, $t1
0x0000000000002ab8: 03 18 10 24 and $v0, $t8, $t8
0x0000000000002abc: 00 00 00 00 nop
0x0000000000002ac0: 01 FC 68 24 and $t5, $t7, $gp
0x0000000000002ac4: 01 AD 00 19 multu $t5, $t5
0x0000000000002ac8: 00 3C A8 20 add $s5, $at, $gp
0x0000000000002acc: 01 29 38 25 or $a3, $t1, $t1
0x0000000000002ad0: 00 00 00 00 nop
0x0000000000002ad4: 00 E7 28 25 or $a1, $a3, $a3
0x0000000000002ad8: 00 A5 F8 22 sub $ra, $a1, $a1
0x0000000000002adc: 00 00 00 00 nop
0x0000000000002ae0: 03 FF 08 2B sltu $at, $ra, $ra
0x0000000000002ae4: 00 00 00 00 nop
0x0000000000002ae8: 00 21 90 25 or $s2, $at, $at
0x0000000000002aec: 00 00 00 00 nop
0x0000000000002af0: 00 00 00 00 nop
0x0000000000002af4: 02 52 88 25 or $s1, $s2, $s2
0x0000000000002af8: 00 00 00 00 nop
0x0000000000002afc: 01 DD 40 24 and $t0, $t6, $sp
0x0000000000002b00: 02 31 80 25 or $s0, $s1, $s1
0x0000000000002b04: 00 73 00 25 or $zero, $v1, $s3
0x0000000000002b08: 00 00 00 00 nop
0x0000000000002b0c: 02 10 F0 2B sltu $fp, $s0, $s0
0x0000000000002b10: 03 DE D8 22 sub $k1, $fp, $fp
0x0000000000002b14: 03 7B C0 25 or $t8, $k1, $k1
0x0000000000002b18: 00 00 00 00 nop
0x0000000000002b1c: 03 22 A0 24 and $s4, $t9, $v0
0x0000000000002b20: 00 E7 00 20 add $zero, $a3, $a3
0x0000000000002b24: 01 98 C8 20 add $t9, $t4, $t8
0x0000000000002b28: 00 00 00 00 nop
0x0000000000002b2c: 00 00 00 00 nop
0x0000000000002b30: 03 39 E8 22 sub $sp, $t9, $t9
0x0000000000002b34: 03 BD E0 22 sub $gp, $sp, $sp
0x0000000000002b38: 03 9C 40 20 add $t0, $gp, $gp
0x0000000000002b3c: 00 00 00 00 nop
0x0000000000002b40: 00 00 00 00 nop
0x0000000000002b44: 01 08 40 2A slt $t0, $t0, $t0
0x0000000000002b48: 01 08 18 24 and $v1, $t0, $t0
0x0000000000002b4c: 00 00 00 00 nop
0x0000000000002b50: 00 ED A0 25 or $s4, $a3, $t5
0x0000000000002b54: 00 63 A0 25 or $s4, $v1, $v1
0x0000000000002b58: 00 00 00 00 nop
0x0000000000002b5c: 02 94 68 24 and $t5, $s4, $s4
0x0000000000002b60: 00 00 00 00 nop
0x0000000000002b64: 01 AD 20 20 add $a0, $t5, $t5
0x0000000000002b68: 00 00 00 00 nop
0x0000000000002b6c: 00 84 D8 20 add $k1, $a0, $a0
0x0000000000002b70: 03 7B D0 24 and $k0, $k1, $k1
0x0000000000002b74: 03 40 00 13 mtlo $k0
0x0000000000002b78: 01 EF F8 24 and $ra, $t7, $t7
0x0000000000002b7c: 00 1A E0 25 or $gp, $zero, $k0
0x0000000000002b80: 03 FF 70 22 sub $t6, $ra, $ra
0x0000000000002b84: 01 CE E0 2B sltu $gp, $t6, $t6
0x0000000000002b88: 03 F1 00 25 or $zero, $ra, $s1
0x0000000000002b8c: 00 00 00 00 nop
0x0000000000002b90: 01 DB C0 24 and $t8, $t6, $k1
0x0000000000002b94: 02 22 58 20 add $t3, $s1, $v0
0x0000000000002b98: 03 18 E0 22 sub $gp, $t8, $t8
0x0000000000002b9c: 00 00 00 00 nop
0x0000000000002ba0: 03 9C 10 22 sub $v0, $gp, $gp
0x0000000000002ba4: 00 00 F8 12 mflo $ra
0x0000000000002ba8: 03 FF 28 24 and $a1, $ra, $ra
0x0000000000002bac: 00 00 00 00 nop
0x0000000000002bb0: 00 A5 90 25 or $s2, $a1, $a1
0x0000000000002bb4: 00 00 00 00 nop
0x0000000000002bb8: 02 52 48 22 sub $t1, $s2, $s2
0x0000000000002bbc: 01 29 78 20 add $t7, $t1, $t1
0x0000000000002bc0: 00 00 00 00 nop
0x0000000000002bc4: 01 EF 90 20 add $s2, $t7, $t7
0x0000000000002bc8: 02 52 A8 25 or $s5, $s2, $s2
0x0000000000002bcc: 02 E8 78 20 add $t7, $s7, $t0
0x0000000000002bd0: 00 00 00 00 nop
0x0000000000002bd4: 02 B5 30 20 add $a2, $s5, $s5
0x0000000000002bd8: 00 00 00 00 nop
0x0000000000002bdc: 00 C6 F0 25 or $fp, $a2, $a2
0x0000000000002be0: 03 DE 80 24 and $s0, $fp, $fp
0x0000000000002be4: 02 10 18 25 or $v1, $s0, $s0
0x0000000000002be8: 00 00 00 00 nop
0x0000000000002bec: 00 00 00 00 nop
0x0000000000002bf0: 00 63 68 24 and $t5, $v1, $v1
0x0000000000002bf4: 00 75 B0 2B sltu $s6, $v1, $s5
0x0000000000002bf8: 00 00 00 00 nop
0x0000000000002bfc: 01 AD 60 22 sub $t4, $t5, $t5
0x0000000000002c00: 00 00 00 00 nop
0x0000000000002c04: 00 00 70 12 mflo $t6
0x0000000000002c08: 00 00 00 00 nop
0x0000000000002c0c: 00 00 00 00 nop
0x0000000000002c10: 01 CE E0 22 sub $gp, $t6, $t6
0x0000000000002c14: 00 00 00 00 nop
0x0000000000002c18: 00 00 00 00 nop
0x0000000000002c1c: 03 9C B0 25 or $s6, $gp, $gp
0x0000000000002c20: 00 00 00 00 nop
0x0000000000002c24: 02 DE 00 22 sub $zero, $s6, $fp
0x0000000000002c28: 02 D6 F8 22 sub $ra, $s6, $s6
0x0000000000002c2c: 00 00 00 00 nop
0x0000000000002c30: 00 00 00 00 nop
0x0000000000002c34: 03 FF A0 20 add $s4, $ra, $ra
0x0000000000002c38: 02 08 88 22 sub $s1, $s0, $t0
0x0000000000002c3c: 00 00 00 00 nop
0x0000000000002c40: 02 94 E8 2A slt $sp, $s4, $s4
0x0000000000002c44: 00 00 D8 12 mflo $k1
0x0000000000002c48: 00 00 F8 10 mfhi $ra
0x0000000000002c4c: 03 FF 90 20 add $s2, $ra, $ra
0x0000000000002c50: 02 40 00 13 mtlo $s2
0x0000000000002c54: 02 52 78 20 add $t7, $s2, $s2
0x0000000000002c58: 00 00 00 00 nop
0x0000000000002c5c: 00 EA 50 25 or $t2, $a3, $t2
0x0000000000002c60: 01 EF 98 2A slt $s3, $t7, $t7
0x0000000000002c64: 00 00 00 00 nop
0x0000000000002c68: 00 00 00 00 nop
0x0000000000002c6c: 02 73 90 24 and $s2, $s3, $s3
0x0000000000002c70: 00 00 00 00 nop
0x0000000000002c74: 00 00 00 00 nop
0x0000000000002c78: 34 00 09 48 ori $zero, $zero, 0x948
0x0000000000002c7c: 34 01 0E F8 ori $at, $zero, 0xef8
0x0000000000002c80: 34 02 06 8F ori $v0, $zero, 0x68f
0x0000000000002c84: 34 03 08 6C ori $v1, $zero, 0x86c
0x0000000000002c88: 34 04 05 54 ori $a0, $zero, 0x554
0x0000000000002c8c: 34 05 12 71 ori $a1, $zero, 0x1271
0x0000000000002c90: 34 06 1E 28 ori $a2, $zero, 0x1e28
0x0000000000002c94: 34 07 24 4C ori $a3, $zero, 0x244c
0x0000000000002c98: 34 08 1A 50 ori $t0, $zero, 0x1a50
0x0000000000002c9c: 34 09 02 56 ori $t1, $zero, 0x256
0x0000000000002ca0: 34 0A 13 C2 ori $t2, $zero, 0x13c2
0x0000000000002ca4: 34 0B 16 75 ori $t3, $zero, 0x1675
0x0000000000002ca8: 34 0C 08 D1 ori $t4, $zero, 0x8d1
0x0000000000002cac: 34 0D 09 1D ori $t5, $zero, 0x91d
0x0000000000002cb0: 34 0E 09 57 ori $t6, $zero, 0x957
0x0000000000002cb4: 34 0F 1A ED ori $t7, $zero, 0x1aed
0x0000000000002cb8: 34 10 23 4C ori $s0, $zero, 0x234c
0x0000000000002cbc: 34 11 17 E7 ori $s1, $zero, 0x17e7
0x0000000000002cc0: 34 12 13 7D ori $s2, $zero, 0x137d
0x0000000000002cc4: 34 13 10 E9 ori $s3, $zero, 0x10e9
0x0000000000002cc8: 34 14 0F 90 ori $s4, $zero, 0xf90
0x0000000000002ccc: 34 15 24 A0 ori $s5, $zero, 0x24a0
0x0000000000002cd0: 34 16 03 6E ori $s6, $zero, 0x36e
0x0000000000002cd4: 34 17 17 C3 ori $s7, $zero, 0x17c3
0x0000000000002cd8: 34 18 17 D9 ori $t8, $zero, 0x17d9
0x0000000000002cdc: 34 19 0B 77 ori $t9, $zero, 0xb77
0x0000000000002ce0: 34 1A 0C AD ori $k0, $zero, 0xcad
0x0000000000002ce4: 34 1B 0E 4E ori $k1, $zero, 0xe4e
0x0000000000002ce8: 34 1C 00 5C ori $gp, $zero, 0x5c
0x0000000000002cec: 34 1D 15 05 ori $sp, $zero, 0x1505
0x0000000000002cf0: 34 1E 07 9F ori $fp, $zero, 0x79f
0x0000000000002cf4: 34 1F 25 DD ori $ra, $zero, 0x25dd
0x0000000000002cf8: 03 C8 18 2B sltu $v1, $fp, $t0
0x0000000000002cfc: 01 80 D8 20 add $k1, $t4, $zero
0x0000000000002d00: 01 80 00 13 mtlo $t4
0x0000000000002d04: 00 63 08 22 sub $at, $v1, $v1
0x0000000000002d08: 00 21 60 25 or $t4, $at, $at
0x0000000000002d0c: 02 1C B0 20 add $s6, $s0, $gp
0x0000000000002d10: 00 00 00 00 nop
0x0000000000002d14: 01 8C A0 25 or $s4, $t4, $t4
0x0000000000002d18: 02 94 80 25 or $s0, $s4, $s4
0x0000000000002d1c: 02 E0 00 13 mtlo $s7
0x0000000000002d20: 00 00 00 00 nop
0x0000000000002d24: 02 10 28 24 and $a1, $s0, $s0
0x0000000000002d28: 02 D2 10 20 add $v0, $s6, $s2
0x0000000000002d2c: 00 A5 B8 25 or $s7, $a1, $a1
0x0000000000002d30: 02 F7 48 24 and $t1, $s7, $s7
0x0000000000002d34: 00 00 00 00 nop
0x0000000000002d38: 02 0F B8 2A slt $s7, $s0, $t7
0x0000000000002d3c: 01 29 D8 24 and $k1, $t1, $t1
0x0000000000002d40: 03 7B 10 2B sltu $v0, $k1, $k1
0x0000000000002d44: 01 05 68 24 and $t5, $t0, $a1
0x0000000000002d48: 00 42 E8 25 or $sp, $v0, $v0
0x0000000000002d4c: 01 98 88 22 sub $s1, $t4, $t8
0x0000000000002d50: 03 BD 90 20 add $s2, $sp, $sp
0x0000000000002d54: 00 00 00 00 nop
0x0000000000002d58: 02 52 E0 20 add $gp, $s2, $s2
0x0000000000002d5c: 02 5F 58 22 sub $t3, $s2, $ra
0x0000000000002d60: 03 9C C0 20 add $t8, $gp, $gp
0x0000000000002d64: 01 88 F8 2B sltu $ra, $t4, $t0
0x0000000000002d68: 00 00 00 00 nop
0x0000000000002d6c: 03 18 C8 25 or $t9, $t8, $t8
0x0000000000002d70: 03 39 C0 24 and $t8, $t9, $t9
0x0000000000002d74: 03 18 B0 24 and $s6, $t8, $t8
0x0000000000002d78: 02 D6 00 19 multu $s6, $s6
0x0000000000002d7c: 03 C1 08 25 or $at, $fp, $at
0x0000000000002d80: 00 C6 98 20 add $s3, $a2, $a2
0x0000000000002d84: 00 00 00 00 nop
0x0000000000002d88: 01 68 C0 20 add $t8, $t3, $t0
0x0000000000002d8c: 02 60 00 13 mtlo $s3
0x0000000000002d90: 00 00 00 00 nop
0x0000000000002d94: 03 18 00 24 and $zero, $t8, $t8
0x0000000000002d98: 01 68 50 24 and $t2, $t3, $t0
0x0000000000002d9c: 02 E6 00 1A div $zero, $s7, $a2
0x0000000000002da0: 03 00 00 11 mthi $t8
0x0000000000002da4: 00 7F 80 20 add $s0, $v1, $ra
0x0000000000002da8: 00 00 00 00 nop
0x0000000000002dac: 00 A5 C0 20 add $t8, $a1, $a1
0x0000000000002db0: 00 85 A0 22 sub $s4, $a0, $a1
0x0000000000002db4: 03 00 00 13 mtlo $t8
0x0000000000002db8: 02 CE F0 24 and $fp, $s6, $t6
0x0000000000002dbc: 00 80 00 13 mtlo $a0
0x0000000000002dc0: 00 00 00 00 nop
0x0000000000002dc4: 00 84 D0 2A slt $k0, $a0, $a0
0x0000000000002dc8: 00 00 00 00 nop
0x0000000000002dcc: 01 08 C8 25 or $t9, $t0, $t0
0x0000000000002dd0: 03 5A A8 25 or $s5, $k0, $k0
0x0000000000002dd4: 00 00 00 00 nop
0x0000000000002dd8: 00 00 A8 10 mfhi $s5
0x0000000000002ddc: 00 00 00 00 nop
0x0000000000002de0: 02 B5 60 2A slt $t4, $s5, $s5
0x0000000000002de4: 01 8C 00 18 mult $t4, $t4
0x0000000000002de8: 00 00 60 12 mflo $t4
0x0000000000002dec: 01 8C D0 22 sub $k0, $t4, $t4
0x0000000000002df0: 00 00 00 00 nop
0x0000000000002df4: 00 00 00 00 nop
0x0000000000002df8: 03 5A C8 2B sltu $t9, $k0, $k0
0x0000000000002dfc: 00 00 00 00 nop
0x0000000000002e00: 03 39 38 20 add $a3, $t9, $t9
0x0000000000002e04: 00 00 00 00 nop
0x0000000000002e08: 00 00 00 00 nop
0x0000000000002e0c: 00 E7 28 25 or $a1, $a3, $a3
0x0000000000002e10: 00 00 00 00 nop
0x0000000000002e14: 01 31 78 22 sub $t7, $t1, $s1
0x0000000000002e18: 00 A5 68 25 or $t5, $a1, $a1
0x0000000000002e1c: 01 AA 70 22 sub $t6, $t5, $t2
0x0000000000002e20: 00 00 00 00 nop
0x0000000000002e24: 01 AD C0 24 and $t8, $t5, $t5
0x0000000000002e28: 00 00 00 00 nop
0x0000000000002e2c: 03 18 C0 22 sub $t8, $t8, $t8
0x0000000000002e30: 02 F3 40 2B sltu $t0, $s7, $s3
0x0000000000002e34: 01 02 88 24 and $s1, $t0, $v0
0x0000000000002e38: 03 18 50 24 and $t2, $t8, $t8
0x0000000000002e3c: 01 4A F0 24 and $fp, $t2, $t2
0x0000000000002e40: 00 00 00 00 nop
0x0000000000002e44: 00 00 00 00 nop
0x0000000000002e48: 00 00 A0 10 mfhi $s4
0x0000000000002e4c: 00 00 00 00 nop
0x0000000000002e50: 02 94 30 25 or $a2, $s4, $s4
0x0000000000002e54: 02 C0 00 13 mtlo $s6
0x0000000000002e58: 00 00 00 00 nop
0x0000000000002e5c: 00 84 20 24 and $a0, $a0, $a0
0x0000000000002e60: 00 00 00 00 nop
0x0000000000002e64: 00 00 00 00 nop
0x0000000000002e68: 00 84 00 1B divu $zero, $a0, $a0
0x0000000000002e6c: 00 00 00 00 nop
0x0000000000002e70: 00 C6 30 25 or $a2, $a2, $a2
0x0000000000002e74: 00 00 00 00 nop
0x0000000000002e78: 00 00 00 00 nop
0x0000000000002e7c: 00 C6 A0 22 sub $s4, $a2, $a2
0x0000000000002e80: 00 00 00 00 nop
0x0000000000002e84: 01 E6 B0 2A slt $s6, $t7, $a2
0x0000000000002e88: 02 94 E0 24 and $gp, $s4, $s4
0x0000000000002e8c: 03 9C A8 25 or $s5, $gp, $gp
0x0000000000002e90: 01 80 00 13 mtlo $t4
0x0000000000002e94: 00 20 00 13 mtlo $at
0x0000000000002e98: 02 A0 00 13 mtlo $s5
0x0000000000002e9c: 00 00 00 00 nop
0x0000000000002ea0: 00 00 00 00 nop
0x0000000000002ea4: 00 00 78 12 mflo $t7
0x0000000000002ea8: 01 E0 00 13 mtlo $t7
0x0000000000002eac: 00 00 80 10 mfhi $s0
0x0000000000002eb0: 00 00 00 00 nop
0x0000000000002eb4: 02 20 00 11 mthi $s1
0x0000000000002eb8: 00 00 00 00 nop
0x0000000000002ebc: 03 00 00 13 mtlo $t8
0x0000000000002ec0: 00 00 00 00 nop
0x0000000000002ec4: 03 9C A0 2A slt $s4, $gp, $gp
0x0000000000002ec8: 00 00 00 00 nop
0x0000000000002ecc: 00 00 00 00 nop
0x0000000000002ed0: 00 00 18 10 mfhi $v1
0x0000000000002ed4: 00 00 00 00 nop
0x0000000000002ed8: 00 00 60 12 mflo $t4
0x0000000000002edc: 02 FD 20 2A slt $a0, $s7, $sp
0x0000000000002ee0: 03 1B F8 2B sltu $ra, $t8, $k1
0x0000000000002ee4: 01 8C B8 2A slt $s7, $t4, $t4
0x0000000000002ee8: 00 00 00 00 nop
0x0000000000002eec: 00 00 00 00 nop
0x0000000000002ef0: 02 F7 00 18 mult $s7, $s7
0x0000000000002ef4: 03 BD B8 22 sub $s7, $sp, $sp
0x0000000000002ef8: 02 F7 28 20 add $a1, $s7, $s7
0x0000000000002efc: 00 A5 A8 2A slt $s5, $a1, $a1
0x0000000000002f00: 00 FF C0 2B sltu $t8, $a3, $ra
0x0000000000002f04: 03 00 00 11 mthi $t8
0x0000000000002f08: 00 00 00 00 nop
0x0000000000002f0c: 00 00 00 00 nop
0x0000000000002f10: 03 18 F0 25 or $fp, $t8, $t8
0x0000000000002f14: 00 00 00 00 nop
0x0000000000002f18: 03 DE 68 24 and $t5, $fp, $fp
0x0000000000002f1c: 00 00 00 00 nop
0x0000000000002f20: 00 00 00 00 nop
0x0000000000002f24: 01 AD 00 18 mult $t5, $t5
0x0000000000002f28: 02 36 C0 2B sltu $t8, $s1, $s6
0x0000000000002f2c: 00 00 00 00 nop
0x0000000000002f30: 00 42 88 2B sltu $s1, $v0, $v0
0x0000000000002f34: 02 31 28 25 or $a1, $s1, $s1
0x0000000000002f38: 01 1B 00 18 mult $t0, $k1
0x0000000000002f3c: 00 A5 70 25 or $t6, $a1, $a1
0x0000000000002f40: 00 00 00 00 nop
0x0000000000002f44: 01 CE 18 25 or $v1, $t6, $t6
0x0000000000002f48: 00 63 B0 24 and $s6, $v1, $v1
0x0000000000002f4c: 00 00 00 00 nop
0x0000000000002f50: 00 00 00 00 nop
0x0000000000002f54: 02 D6 68 25 or $t5, $s6, $s6
0x0000000000002f58: 01 80 00 11 mthi $t4
0x0000000000002f5c: 00 00 68 12 mflo $t5
0x0000000000002f60: 01 AD 30 24 and $a2, $t5, $t5
0x0000000000002f64: 00 00 00 00 nop
0x0000000000002f68: 00 C6 E0 20 add $gp, $a2, $a2
0x0000000000002f6c: 01 6F E8 24 and $sp, $t3, $t7
0x0000000000002f70: 03 9C 98 24 and $s3, $gp, $gp
0x0000000000002f74: 02 73 D0 25 or $k0, $s3, $s3
0x0000000000002f78: 00 00 00 00 nop
0x0000000000002f7c: 00 00 00 00 nop
0x0000000000002f80: 00 00 00 00 nop
0x0000000000002f84: 02 94 48 2A slt $t1, $s4, $s4
0x0000000000002f88: 00 00 00 00 nop
0x0000000000002f8c: 01 29 00 19 multu $t1, $t1
0x0000000000002f90: 00 00 00 00 nop
0x0000000000002f94: 03 5A 80 22 sub $s0, $k0, $k0
0x0000000000002f98: 01 16 18 20 add $v1, $t0, $s6
0x0000000000002f9c: 02 10 E8 2A slt $sp, $s0, $s0
0x0000000000002fa0: 03 A0 00 11 mthi $sp
0x0000000000002fa4: 00 00 00 00 nop
0x0000000000002fa8: 01 29 E0 20 add $gp, $t1, $t1
0x0000000000002fac: 00 00 00 00 nop
0x0000000000002fb0: 03 9C 20 24 and $a0, $gp, $gp
0x0000000000002fb4: 00 80 00 11 mthi $a0
0x0000000000002fb8: 00 00 00 00 nop
0x0000000000002fbc: 00 00 00 00 nop
0x0000000000002fc0: 01 6B C8 25 or $t9, $t3, $t3
0x0000000000002fc4: 00 00 80 10 mfhi $s0
0x0000000000002fc8: 00 00 00 00 nop
0x0000000000002fcc: 03 39 A0 25 or $s4, $t9, $t9
0x0000000000002fd0: 01 01 C0 20 add $t8, $t0, $at
0x0000000000002fd4: 02 94 00 19 multu $s4, $s4
0x0000000000002fd8: 03 9C 98 25 or $s3, $gp, $gp
0x0000000000002fdc: 00 00 00 00 nop
0x0000000000002fe0: 03 03 00 1B divu $zero, $t8, $v1
0x0000000000002fe4: 02 73 E0 20 add $gp, $s3, $s3
0x0000000000002fe8: 03 9C 18 25 or $v1, $gp, $gp
0x0000000000002fec: 00 00 00 00 nop
0x0000000000002ff0: 00 00 00 00 nop
0x0000000000002ff4: 00 63 E0 2A slt $gp, $v1, $v1
0x0000000000002ff8: 03 92 F8 20 add $ra, $gp, $s2
0x0000000000002ffc: 03 9C 00 19 multu $gp, $gp
0x0000000000003000: 00 00 00 00 nop
0x0000000000003004: 00 00 00 00 nop
0x0000000000003008: 01 AD 00 18 mult $t5, $t5
0x000000000000300c: 00 00 F0 10 mfhi $fp
0x0000000000003010: 00 00 00 00 nop
0x0000000000003014: 03 DE 50 24 and $t2, $fp, $fp
0x0000000000003018: 00 00 00 00 nop
0x000000000000301c: 01 4A 58 24 and $t3, $t2, $t2
0x0000000000003020: 01 60 00 13 mtlo $t3
0x0000000000003024: 00 00 00 00 nop
0x0000000000003028: 00 A5 90 24 and $s2, $a1, $a1
0x000000000000302c: 02 52 C0 24 and $t8, $s2, $s2
0x0000000000003030: 03 55 C0 22 sub $t8, $k0, $s5
0x0000000000003034: 00 00 00 00 nop
0x0000000000003038: 03 00 00 11 mthi $t8
0x000000000000303c: 00 00 00 00 nop
0x0000000000003040: 00 D7 C8 25 or $t9, $a2, $s7
0x0000000000003044: 01 01 00 25 or $zero, $t0, $at
0x0000000000003048: 03 C7 30 20 add $a2, $fp, $a3
0x000000000000304c: 03 39 F8 25 or $ra, $t9, $t9
0x0000000000003050: 03 8B F8 24 and $ra, $gp, $t3
0x0000000000003054: 00 00 00 00 nop
0x0000000000003058: 03 FF 80 22 sub $s0, $ra, $ra
0x000000000000305c: 02 10 48 20 add $t1, $s0, $s0
0x0000000000003060: 00 41 00 1A div $zero, $v0, $at
0x0000000000003064: 01 29 90 24 and $s2, $t1, $t1
0x0000000000003068: 02 52 68 22 sub $t5, $s2, $s2
0x000000000000306c: 01 AD 80 2B sltu $s0, $t5, $t5
0x0000000000003070: 02 10 10 24 and $v0, $s0, $s0
0x0000000000003074: 00 AD 98 25 or $s3, $a1, $t5
0x0000000000003078: 00 42 B0 22 sub $s6, $v0, $v0
0x000000000000307c: 00 00 00 00 nop
0x0000000000003080: 02 D6 70 2A slt $t6, $s6, $s6
0x0000000000003084: 01 CE 00 18 mult $t6, $t6
0x0000000000003088: 00 00 00 00 nop
0x000000000000308c: 00 00 00 00 nop
0x0000000000003090: 00 E7 90 25 or $s2, $a3, $a3
0x0000000000003094: 00 00 00 00 nop
0x0000000000003098: 02 52 00 22 sub $zero, $s2, $s2
0x000000000000309c: 02 76 00 18 mult $s3, $s6
0x00000000000030a0: 01 7B E8 24 and $sp, $t3, $k1
0x00000000000030a4: 00 00 00 00 nop
0x00000000000030a8: 03 BD A0 2B sltu $s4, $sp, $sp
0x00000000000030ac: 02 46 58 24 and $t3, $s2, $a2
0x00000000000030b0: 02 94 60 22 sub $t4, $s4, $s4
0x00000000000030b4: 00 00 00 00 nop
0x00000000000030b8: 01 8C 80 24 and $s0, $t4, $t4
0x00000000000030bc: 00 00 F0 12 mflo $fp
0x00000000000030c0: 03 DE 08 22 sub $at, $fp, $fp
0x00000000000030c4: 00 00 58 10 mfhi $t3
0x00000000000030c8: 02 78 E8 22 sub $sp, $s3, $t8
0x00000000000030cc: 00 21 70 22 sub $t6, $at, $at
0x00000000000030d0: 00 00 00 00 nop
0x00000000000030d4: 01 CE 18 22 sub $v1, $t6, $t6
0x00000000000030d8: 01 A9 60 25 or $t4, $t5, $t1
0x00000000000030dc: 00 63 50 20 add $t2, $v1, $v1
0x00000000000030e0: 01 4A 98 2B sltu $s3, $t2, $t2
0x00000000000030e4: 02 73 40 22 sub $t0, $s3, $s3
0x00000000000030e8: 01 08 A0 24 and $s4, $t0, $t0
0x00000000000030ec: 00 00 00 00 nop
0x00000000000030f0: 02 94 A8 22 sub $s5, $s4, $s4
0x00000000000030f4: 03 9E 20 22 sub $a0, $gp, $fp
0x00000000000030f8: 00 00 00 00 nop
0x00000000000030fc: 02 B5 80 20 add $s0, $s5, $s5
0x0000000000003100: 00 00 00 00 nop
0x0000000000003104: 02 00 00 11 mthi $s0
0x0000000000003108: 03 18 C8 20 add $t9, $t8, $t8
0x000000000000310c: 00 00 00 00 nop
0x0000000000003110: 03 24 A0 24 and $s4, $t9, $a0
0x0000000000003114: 03 20 00 13 mtlo $t9
0x0000000000003118: 00 00 00 00 nop
0x000000000000311c: 00 C6 30 24 and $a2, $a2, $a2
0x0000000000003120: 00 00 00 00 nop
0x0000000000003124: 00 00 00 00 nop
0x0000000000003128: 00 C6 B0 25 or $s6, $a2, $a2
0x000000000000312c: 00 00 00 00 nop
0x0000000000003130: 02 D6 48 20 add $t1, $s6, $s6
0x0000000000003134: 00 00 00 00 nop
0x0000000000003138: 01 29 A0 22 sub $s4, $t1, $t1
0x000000000000313c: 02 94 28 2A slt $a1, $s4, $s4
0x0000000000003140: 00 00 00 00 nop
0x0000000000003144: 00 A5 B8 20 add $s7, $a1, $a1
0x0000000000003148: 00 BE 00 2A slt $zero, $a1, $fp
0x000000000000314c: 02 F7 78 2A slt $t7, $s7, $s7
0x0000000000003150: 00 AD F0 2A slt $fp, $a1, $t5
0x0000000000003154: 00 00 80 10 mfhi $s0
0x0000000000003158: 00 00 00 00 nop
0x000000000000315c: 00 00 00 00 nop
0x0000000000003160: 00 00 00 00 nop
0x0000000000003164: 01 08 10 22 sub $v0, $t0, $t0
0x0000000000003168: 00 00 E8 10 mfhi $sp
0x000000000000316c: 03 BD C0 25 or $t8, $sp, $sp
0x0000000000003170: 00 41 28 2B sltu $a1, $v0, $at
0x0000000000003174: 03 18 90 20 add $s2, $t8, $t8
0x0000000000003178: 00 00 00 00 nop
0x000000000000317c: 02 52 C8 20 add $t9, $s2, $s2
0x0000000000003180: 00 00 00 00 nop
0x0000000000003184: 00 00 70 12 mflo $t6
0x0000000000003188: 00 00 00 00 nop
0x000000000000318c: 00 00 00 00 nop
0x0000000000003190: 00 00 00 00 nop
0x0000000000003194: 01 08 78 22 sub $t7, $t0, $t0
0x0000000000003198: 00 00 00 00 nop
0x000000000000319c: 00 56 00 18 mult $v0, $s6
0x00000000000031a0: 01 EF 58 2A slt $t3, $t7, $t7
0x00000000000031a4: 00 00 00 00 nop
0x00000000000031a8: 01 6B 00 19 multu $t3, $t3
0x00000000000031ac: 00 00 00 00 nop
0x00000000000031b0: 00 EA 10 25 or $v0, $a3, $t2
0x00000000000031b4: 00 A5 C0 22 sub $t8, $a1, $a1
0x00000000000031b8: 00 00 00 00 nop
0x00000000000031bc: 00 00 00 00 nop
0x00000000000031c0: 03 18 38 24 and $a3, $t8, $t8
0x00000000000031c4: 00 00 00 00 nop
0x00000000000031c8: 00 E7 68 20 add $t5, $a3, $a3
0x00000000000031cc: 01 AD 10 24 and $v0, $t5, $t5
0x00000000000031d0: 00 42 D0 2B sltu $k0, $v0, $v0
0x00000000000031d4: 02 D3 08 2B sltu $at, $s6, $s3
0x00000000000031d8: 03 40 00 11 mthi $k0
0x00000000000031dc: 00 21 20 2A slt $a0, $at, $at
0x00000000000031e0: 03 E0 00 11 mthi $ra
0x00000000000031e4: 00 84 00 18 mult $a0, $a0
0x00000000000031e8: 02 94 78 24 and $t7, $s4, $s4
0x00000000000031ec: 01 EF D0 24 and $k0, $t7, $t7
0x00000000000031f0: 01 5E 38 20 add $a3, $t2, $fp
0x00000000000031f4: 00 00 00 00 nop
0x00000000000031f8: 03 5A 50 2A slt $t2, $k0, $k0
0x00000000000031fc: 00 00 00 00 nop
0x0000000000003200: 01 4A 30 24 and $a2, $t2, $t2
0x0000000000003204: 00 01 C0 20 add $t8, $zero, $at
0x0000000000003208: 00 00 00 00 nop
0x000000000000320c: 00 C6 98 20 add $s3, $a2, $a2
0x0000000000003210: 00 00 20 10 mfhi $a0
0x0000000000003214: 00 00 00 00 nop
0x0000000000003218: 00 00 00 00 nop
0x000000000000321c: 00 84 D0 2A slt $k0, $a0, $a0
0x0000000000003220: 00 00 00 00 nop
0x0000000000003224: 03 5A 48 25 or $t1, $k0, $k0
0x0000000000003228: 00 00 00 00 nop
0x000000000000322c: 00 00 00 00 nop
0x0000000000003230: 01 29 30 22 sub $a2, $t1, $t1
0x0000000000003234: 00 00 00 00 nop
0x0000000000003238: 00 C6 20 25 or $a0, $a2, $a2
0x000000000000323c: 00 00 00 00 nop
0x0000000000003240: 00 00 00 00 nop
0x0000000000003244: 03 18 E8 20 add $sp, $t8, $t8
0x0000000000003248: 00 00 00 00 nop
0x000000000000324c: 00 00 00 00 nop
0x0000000000003250: 03 BD A0 25 or $s4, $sp, $sp
0x0000000000003254: 00 00 00 00 nop
0x0000000000003258: 02 94 C8 24 and $t9, $s4, $s4
0x000000000000325c: 03 39 A0 22 sub $s4, $t9, $t9
0x0000000000003260: 00 00 00 00 nop
0x0000000000003264: 02 94 78 2A slt $t7, $s4, $s4
0x0000000000003268: 00 00 00 00 nop
0x000000000000326c: 00 00 00 00 nop
0x0000000000003270: 01 E0 00 11 mthi $t7
0x0000000000003274: 00 00 00 00 nop
0x0000000000003278: 01 55 60 20 add $t4, $t2, $s5
0x000000000000327c: 01 CE 40 24 and $t0, $t6, $t6
0x0000000000003280: 00 00 00 00 nop
0x0000000000003284: 00 C6 D8 25 or $k1, $a2, $a2
0x0000000000003288: 00 00 00 00 nop
0x000000000000328c: 01 29 10 20 add $v0, $t1, $t1
0x0000000000003290: 00 42 20 20 add $a0, $v0, $v0
0x0000000000003294: 03 42 28 22 sub $a1, $k0, $v0
0x0000000000003298: 00 00 00 00 nop
0x000000000000329c: 00 84 60 20 add $t4, $a0, $a0
0x00000000000032a0: 03 41 D0 20 add $k0, $k0, $at
0x00000000000032a4: 00 00 48 10 mfhi $t1
0x00000000000032a8: 02 AD E0 20 add $gp, $s5, $t5
0x00000000000032ac: 01 29 B0 20 add $s6, $t1, $t1
0x00000000000032b0: 00 00 00 00 nop
0x00000000000032b4: 02 D6 68 25 or $t5, $s6, $s6
0x00000000000032b8: 00 60 00 13 mtlo $v1
0x00000000000032bc: 00 00 00 00 nop
0x00000000000032c0: 00 00 50 12 mflo $t2
0x00000000000032c4: 00 00 00 00 nop
0x00000000000032c8: 01 8C 08 20 add $at, $t4, $t4
0x00000000000032cc: 00 21 80 22 sub $s0, $at, $at
0x00000000000032d0: 01 27 58 20 add $t3, $t1, $a3
0x00000000000032d4: 00 00 00 00 nop
0x00000000000032d8: 02 00 00 11 mthi $s0
0x00000000000032dc: 00 00 00 00 nop
0x00000000000032e0: 00 00 00 00 nop
0x00000000000032e4: 01 29 A8 22 sub $s5, $t1, $t1
0x00000000000032e8: 02 FA 50 2A slt $t2, $s7, $k0
0x00000000000032ec: 00 00 00 00 nop
0x00000000000032f0: 02 B5 00 19 multu $s5, $s5
0x00000000000032f4: 00 00 00 00 nop
0x00000000000032f8: 00 00 A8 12 mflo $s5
0x00000000000032fc: 00 C3 A8 2B sltu $s5, $a2, $v1
0x0000000000003300: 02 B5 10 22 sub $v0, $s5, $s5
0x0000000000003304: 00 00 00 00 nop
0x0000000000003308: 00 42 40 2A slt $t0, $v0, $v0
0x000000000000330c: 03 32 98 22 sub $s3, $t9, $s2
0x0000000000003310: 34 00 06 81 ori $zero, $zero, 0x681
0x0000000000003314: 34 01 0A 81 ori $at, $zero, 0xa81
0x0000000000003318: 34 02 03 44 ori $v0, $zero, 0x344
0x000000000000331c: 34 03 1A 1C ori $v1, $zero, 0x1a1c
0x0000000000003320: 34 04 0B EB ori $a0, $zero, 0xbeb
0x0000000000003324: 34 05 19 60 ori $a1, $zero, 0x1960
0x0000000000003328: 34 06 22 37 ori $a2, $zero, 0x2237
0x000000000000332c: 34 07 07 9E ori $a3, $zero, 0x79e
0x0000000000003330: 34 08 1C DC ori $t0, $zero, 0x1cdc
0x0000000000003334: 34 09 08 81 ori $t1, $zero, 0x881
0x0000000000003338: 34 0A 0D 83 ori $t2, $zero, 0xd83
0x000000000000333c: 34 0B 1D 5A ori $t3, $zero, 0x1d5a
0x0000000000003340: 34 0C 1B C3 ori $t4, $zero, 0x1bc3
0x0000000000003344: 34 0D 1D DE ori $t5, $zero, 0x1dde
0x0000000000003348: 34 0E 07 ED ori $t6, $zero, 0x7ed
0x000000000000334c: 34 0F 1C 87 ori $t7, $zero, 0x1c87
0x0000000000003350: 34 10 10 55 ori $s0, $zero, 0x1055
0x0000000000003354: 34 11 1C F7 ori $s1, $zero, 0x1cf7
0x0000000000003358: 34 12 0D E5 ori $s2, $zero, 0xde5
0x000000000000335c: 34 13 16 54 ori $s3, $zero, 0x1654
0x0000000000003360: 34 14 0B A9 ori $s4, $zero, 0xba9
0x0000000000003364: 34 15 15 D0 ori $s5, $zero, 0x15d0
0x0000000000003368: 34 16 07 BF ori $s6, $zero, 0x7bf
0x000000000000336c: 34 17 17 12 ori $s7, $zero, 0x1712
0x0000000000003370: 34 18 0E 9B ori $t8, $zero, 0xe9b
0x0000000000003374: 34 19 21 A2 ori $t9, $zero, 0x21a2
0x0000000000003378: 34 1A 01 B6 ori $k0, $zero, 0x1b6
0x000000000000337c: 34 1B 1B 52 ori $k1, $zero, 0x1b52
0x0000000000003380: 34 1C 23 44 ori $gp, $zero, 0x2344
0x0000000000003384: 34 1D 00 40 ori $sp, $zero, 0x40
0x0000000000003388: 34 1E 0D 97 ori $fp, $zero, 0xd97
0x000000000000338c: 34 1F 04 16 ori $ra, $zero, 0x416
0x0000000000003390: 03 CC 00 24 and $zero, $fp, $t4
0x0000000000003394: 00 00 00 00 nop
0x0000000000003398: 03 07 00 1B divu $zero, $t8, $a3
0x000000000000339c: 00 36 A8 24 and $s5, $at, $s6
0x00000000000033a0: 00 00 00 00 nop
0x00000000000033a4: 01 29 58 2A slt $t3, $t1, $t1
0x00000000000033a8: 00 00 00 00 nop
0x00000000000033ac: 02 99 D8 24 and $k1, $s4, $t9
0x00000000000033b0: 03 11 88 20 add $s1, $t8, $s1
0x00000000000033b4: 00 00 40 10 mfhi $t0
0x00000000000033b8: 01 8C D0 24 and $k0, $t4, $t4
0x00000000000033bc: 03 5A D0 22 sub $k0, $k0, $k0
0x00000000000033c0: 03 5A D0 24 and $k0, $k0, $k0
0x00000000000033c4: 00 00 00 00 nop
0x00000000000033c8: 03 5A F0 20 add $fp, $k0, $k0
0x00000000000033cc: 00 F7 70 25 or $t6, $a3, $s7
0x00000000000033d0: 00 00 80 10 mfhi $s0
0x00000000000033d4: 02 10 00 19 multu $s0, $s0
0x00000000000033d8: 03 BD 20 24 and $a0, $sp, $sp
0x00000000000033dc: 03 AA 30 2B sltu $a2, $sp, $t2
0x00000000000033e0: 00 00 00 00 nop
0x00000000000033e4: 00 84 28 22 sub $a1, $a0, $a0
0x00000000000033e8: 00 00 00 00 nop
0x00000000000033ec: 00 A5 10 24 and $v0, $a1, $a1
0x00000000000033f0: 00 00 00 00 nop
0x00000000000033f4: 00 00 00 00 nop
0x00000000000033f8: 00 42 78 25 or $t7, $v0, $v0
0x00000000000033fc: 00 00 00 00 nop
0x0000000000003400: 00 FE B8 24 and $s7, $a3, $fp
0x0000000000003404: 00 00 00 00 nop
0x0000000000003408: 02 80 00 13 mtlo $s4
0x000000000000340c: 03 20 00 11 mthi $t9
0x0000000000003410: 00 00 00 00 nop
0x0000000000003414: 03 7B 28 20 add $a1, $k1, $k1
0x0000000000003418: 02 1E 00 19 multu $s0, $fp
0x000000000000341c: 00 A0 00 13 mtlo $a1
0x0000000000003420: 01 59 98 20 add $s3, $t2, $t9
0x0000000000003424: 02 B6 00 1B divu $zero, $s5, $s6
0x0000000000003428: 01 29 F0 2B sltu $fp, $t1, $t1
0x000000000000342c: 02 38 B0 24 and $s6, $s1, $t8
0x0000000000003430: 03 DE 10 24 and $v0, $fp, $fp
0x0000000000003434: 00 42 E0 2B sltu $gp, $v0, $v0
0x0000000000003438: 03 E9 88 24 and $s1, $ra, $t1
0x000000000000343c: 00 00 00 00 nop
0x0000000000003440: 03 9C 40 2B sltu $t0, $gp, $gp
0x0000000000003444: 00 00 00 00 nop
0x0000000000003448: 00 00 00 00 nop
0x000000000000344c: 01 08 F0 24 and $fp, $t0, $t0
0x0000000000003450: 02 5B E8 25 or $sp, $s2, $k1
0x0000000000003454: 02 EA 00 1B divu $zero, $s7, $t2
0x0000000000003458: 03 DE 70 22 sub $t6, $fp, $fp
0x000000000000345c: 01 CE 00 24 and $zero, $t6, $t6
0x0000000000003460: 01 26 10 22 sub $v0, $t1, $a2
0x0000000000003464: 00 00 00 00 nop
0x0000000000003468: 00 D4 80 22 sub $s0, $a2, $s4
0x000000000000346c: 00 00 00 00 nop
0x0000000000003470: 00 00 00 00 nop
0x0000000000003474: 02 10 08 20 add $at, $s0, $s0
0x0000000000003478: 03 3D 30 20 add $a2, $t9, $sp
0x000000000000347c: 00 00 00 00 nop
0x0000000000003480: 00 21 50 22 sub $t2, $at, $at
0x0000000000003484: 00 00 00 00 nop
0x0000000000003488: 01 4A 20 2B sltu $a0, $t2, $t2
0x000000000000348c: 00 00 00 00 nop
0x0000000000003490: 00 00 00 00 nop
0x0000000000003494: 00 84 10 20 add $v0, $a0, $a0
0x0000000000003498: 00 00 00 00 nop
0x000000000000349c: 00 42 70 20 add $t6, $v0, $v0
0x00000000000034a0: 00 65 00 1B divu $zero, $v1, $a1
0x00000000000034a4: 01 CE B0 25 or $s6, $t6, $t6
0x00000000000034a8: 00 00 00 00 nop
0x00000000000034ac: 02 C0 00 11 mthi $s6
0x00000000000034b0: 00 00 00 00 nop
0x00000000000034b4: 00 00 00 00 nop
0x00000000000034b8: 00 A5 80 24 and $s0, $a1, $a1
0x00000000000034bc: 02 A0 00 18 mult $s5, $zero
0x00000000000034c0: 02 10 68 20 add $t5, $s0, $s0
0x00000000000034c4: 00 00 00 00 nop
0x00000000000034c8: 00 00 00 00 nop
0x00000000000034cc: 01 AD C8 2B sltu $t9, $t5, $t5
0x00000000000034d0: 03 39 B8 22 sub $s7, $t9, $t9
0x00000000000034d4: 00 00 08 10 mfhi $at
0x00000000000034d8: 00 00 00 00 nop
0x00000000000034dc: 00 21 28 22 sub $a1, $at, $at
0x00000000000034e0: 00 00 00 00 nop
0x00000000000034e4: 03 4D 50 25 or $t2, $k0, $t5
0x00000000000034e8: 00 A5 F0 24 and $fp, $a1, $a1
0x00000000000034ec: 03 DE 58 24 and $t3, $fp, $fp
0x00000000000034f0: 00 3B 00 19 multu $at, $k1
0x00000000000034f4: 01 6B 00 18 mult $t3, $t3
0x00000000000034f8: 00 65 B0 24 and $s6, $v1, $a1
0x00000000000034fc: 00 00 00 00 nop
0x0000000000003500: 03 9C 48 20 add $t1, $gp, $gp
0x0000000000003504: 03 E0 B8 25 move $s7, $ra
0x0000000000003508: 03 30 00 1A div $zero, $t9, $s0
0x000000000000350c: 01 29 D0 20 add $k0, $t1, $t1
0x0000000000003510: 00 00 00 00 nop
0x0000000000003514: 00 00 00 00 nop
0x0000000000003518: 00 00 00 00 nop
0x000000000000351c: 02 31 28 20 add $a1, $s1, $s1
0x0000000000003520: 00 00 00 10 mfhi $zero
0x0000000000003524: 02 1E 38 24 and $a3, $s0, $fp
0x0000000000003528: 00 E7 D0 2B sltu $k0, $a3, $a3
0x000000000000352c: 00 00 00 00 nop
0x0000000000003530: 03 40 00 11 mthi $k0
0x0000000000003534: 00 00 00 00 nop
0x0000000000003538: 00 00 00 00 nop
0x000000000000353c: 03 9C 00 19 multu $gp, $gp
0x0000000000003540: 00 00 00 00 nop
0x0000000000003544: 00 00 00 00 nop
0x0000000000003548: 01 4A D0 22 sub $k0, $t2, $t2
0x000000000000354c: 00 00 00 00 nop
0x0000000000003550: 03 5A 10 24 and $v0, $k0, $k0
0x0000000000003554: 00 42 10 25 or $v0, $v0, $v0
0x0000000000003558: 00 00 00 00 nop
0x000000000000355c: 01 1C 38 20 add $a3, $t0, $gp
0x0000000000003560: 00 00 08 10 mfhi $at
0x0000000000003564: 00 00 00 00 nop
0x0000000000003568: 00 00 00 00 nop
0x000000000000356c: 00 00 00 00 nop
0x0000000000003570: 03 BD D8 2B sltu $k1, $sp, $sp
0x0000000000003574: 00 00 00 00 nop
0x0000000000003578: 00 00 00 00 nop
0x000000000000357c: 01 8C 10 22 sub $v0, $t4, $t4
0x0000000000003580: 00 00 00 00 nop
0x0000000000003584: 00 00 00 00 nop
0x0000000000003588: 00 40 00 13 mtlo $v0
0x000000000000358c: 00 00 00 00 nop
0x0000000000003590: 00 00 10 10 mfhi $v0
0x0000000000003594: 00 00 F8 12 mflo $ra
0x0000000000003598: 00 00 00 00 nop
0x000000000000359c: 00 42 C8 25 or $t9, $v0, $v0
0x00000000000035a0: 00 D8 68 25 or $t5, $a2, $t8
0x00000000000035a4: 03 39 A0 20 add $s4, $t9, $t9
0x00000000000035a8: 00 00 00 00 nop
0x00000000000035ac: 02 94 50 25 or $t2, $s4, $s4
0x00000000000035b0: 01 C9 30 25 or $a2, $t6, $t1
0x00000000000035b4: 00 00 00 00 nop
0x00000000000035b8: 01 4A 00 22 sub $zero, $t2, $t2
0x00000000000035bc: 00 00 00 00 nop
0x00000000000035c0: 00 00 00 00 nop
0x00000000000035c4: 03 A4 18 2A slt $v1, $sp, $a0
0x00000000000035c8: 00 00 00 00 nop
0x00000000000035cc: 00 00 00 00 nop
0x00000000000035d0: 00 63 18 24 and $v1, $v1, $v1
0x00000000000035d4: 00 00 00 00 nop
0x00000000000035d8: 00 63 88 25 or $s1, $v1, $v1
0x00000000000035dc: 00 00 00 00 nop
0x00000000000035e0: 00 00 00 00 nop
0x00000000000035e4: 02 31 A8 25 or $s5, $s1, $s1
0x00000000000035e8: 00 00 00 00 nop
0x00000000000035ec: 00 00 00 00 nop
0x00000000000035f0: 00 00 40 10 mfhi $t0
0x00000000000035f4: 00 00 00 00 nop
0x00000000000035f8: 01 08 C8 22 sub $t9, $t0, $t0
0x00000000000035fc: 00 00 00 00 nop
0x0000000000003600: 03 39 00 19 multu $t9, $t9
0x0000000000003604: 00 00 00 00 nop
0x0000000000003608: 03 C0 00 13 mtlo $fp
0x000000000000360c: 00 00 28 10 mfhi $a1
0x0000000000003610: 00 00 00 00 nop
0x0000000000003614: 01 4A C8 24 and $t9, $t2, $t2
0x0000000000003618: 00 00 10 10 mfhi $v0
0x000000000000361c: 03 39 A8 20 add $s5, $t9, $t9
0x0000000000003620: 03 81 C8 24 and $t9, $gp, $at
0x0000000000003624: 00 00 00 00 nop
0x0000000000003628: 02 B5 40 25 or $t0, $s5, $s5
0x000000000000362c: 01 4D 30 22 sub $a2, $t2, $t5
0x0000000000003630: 01 00 00 11 mthi $t0
0x0000000000003634: 00 84 00 19 multu $a0, $a0
0x0000000000003638: 00 00 00 00 nop
0x000000000000363c: 01 CE 00 18 mult $t6, $t6
0x0000000000003640: 03 13 B8 20 add $s7, $t8, $s3
0x0000000000003644: 00 21 48 22 sub $t1, $at, $at
0x0000000000003648: 00 00 00 00 nop
0x000000000000364c: 01 29 00 19 multu $t1, $t1
0x0000000000003650: 00 00 60 10 mfhi $t4
0x0000000000003654: 03 B7 90 24 and $s2, $sp, $s7
0x0000000000003658: 01 8C F8 22 sub $ra, $t4, $t4
0x000000000000365c: 00 00 00 00 nop
0x0000000000003660: 00 00 00 00 nop
0x0000000000003664: 03 FF 00 18 mult $ra, $ra
0x0000000000003668: 00 00 00 00 nop
0x000000000000366c: 00 00 20 12 mflo $a0
0x0000000000003670: 00 80 00 13 mtlo $a0
0x0000000000003674: 00 00 00 00 nop
0x0000000000003678: 02 60 00 13 mtlo $s3
0x000000000000367c: 03 CA D8 24 and $k1, $fp, $t2
0x0000000000003680: 00 00 00 00 nop
0x0000000000003684: 03 39 40 20 add $t0, $t9, $t9
0x0000000000003688: 00 00 00 00 nop
0x000000000000368c: 00 00 00 00 nop
0x0000000000003690: 01 08 80 22 sub $s0, $t0, $t0
0x0000000000003694: 00 00 00 00 nop
0x0000000000003698: 00 00 00 00 nop
0x000000000000369c: 02 10 80 2A slt $s0, $s0, $s0
0x00000000000036a0: 00 00 00 00 nop
0x00000000000036a4: 03 22 00 19 multu $t9, $v0
0x00000000000036a8: 02 40 00 13 mtlo $s2
0x00000000000036ac: 00 C6 A8 2A slt $s5, $a2, $a2
0x00000000000036b0: 03 44 98 20 add $s3, $k0, $a0
0x00000000000036b4: 02 B5 78 24 and $t7, $s5, $s5
0x00000000000036b8: 00 00 00 00 nop
0x00000000000036bc: 00 00 00 00 nop
0x00000000000036c0: 01 EF 38 20 add $a3, $t7, $t7
0x00000000000036c4: 00 00 00 00 nop
0x00000000000036c8: 00 E7 00 18 mult $a3, $a3
0x00000000000036cc: 00 00 00 00 nop
0x00000000000036d0: 00 00 00 00 nop
0x00000000000036d4: 00 00 C8 10 mfhi $t9
0x00000000000036d8: 03 39 C0 25 or $t8, $t9, $t9
0x00000000000036dc: 03 B7 88 20 add $s1, $sp, $s7
0x00000000000036e0: 00 00 98 12 mflo $s3
0x00000000000036e4: 03 18 20 25 or $a0, $t8, $t8
0x00000000000036e8: 00 00 00 00 nop
0x00000000000036ec: 00 00 00 00 nop
0x00000000000036f0: 00 84 F8 2B sltu $ra, $a0, $a0
0x00000000000036f4: 00 00 00 00 nop
0x00000000000036f8: 03 FF 20 20 add $a0, $ra, $ra
0x00000000000036fc: 00 84 10 20 add $v0, $a0, $a0
0x0000000000003700: 00 CD 00 1B divu $zero, $a2, $t5
0x0000000000003704: 00 00 00 00 nop
0x0000000000003708: 00 42 B0 20 add $s6, $v0, $v0
0x000000000000370c: 00 00 00 00 nop
0x0000000000003710: 02 D6 E8 2A slt $sp, $s6, $s6
0x0000000000003714: 03 BD 78 20 add $t7, $sp, $sp
0x0000000000003718: 00 00 00 00 nop
0x000000000000371c: 01 EF A8 2B sltu $s5, $t7, $t7
0x0000000000003720: 03 8D 58 25 or $t3, $gp, $t5
0x0000000000003724: 02 B5 00 25 or $zero, $s5, $s5
0x0000000000003728: 00 28 60 2B sltu $t4, $at, $t0
0x000000000000372c: 01 8C 18 24 and $v1, $t4, $t4
0x0000000000003730: 00 63 20 25 or $a0, $v1, $v1
0x0000000000003734: 01 CE 48 25 or $t1, $t6, $t6
0x0000000000003738: 00 00 00 00 nop
0x000000000000373c: 01 29 50 25 or $t2, $t1, $t1
0x0000000000003740: 01 40 00 11 mthi $t2
0x0000000000003744: 00 00 00 00 nop
0x0000000000003748: 00 84 D0 22 sub $k0, $a0, $a0
0x000000000000374c: 00 00 00 00 nop
0x0000000000003750: 01 8C B0 2B sltu $s6, $t4, $t4
0x0000000000003754: 00 00 00 00 nop
0x0000000000003758: 00 20 00 13 mtlo $at
0x000000000000375c: 02 D6 88 2B sltu $s1, $s6, $s6
0x0000000000003760: 00 00 00 00 nop
0x0000000000003764: 00 5B 38 22 sub $a3, $v0, $k1
0x0000000000003768: 02 31 48 24 and $t1, $s1, $s1
0x000000000000376c: 00 00 80 12 mflo $s0
0x0000000000003770: 02 A5 30 22 sub $a2, $s5, $a1
0x0000000000003774: 00 00 00 00 nop
0x0000000000003778: 00 00 00 00 nop
0x000000000000377c: 02 94 60 25 or $t4, $s4, $s4
0x0000000000003780: 00 00 00 00 nop
0x0000000000003784: 01 8C D0 24 and $k0, $t4, $t4
0x0000000000003788: 00 00 B8 12 mflo $s7
0x000000000000378c: 02 F7 D0 2B sltu $k0, $s7, $s7
0x0000000000003790: 00 00 00 00 nop
0x0000000000003794: 03 5A E0 25 or $gp, $k0, $k0
0x0000000000003798: 00 00 00 00 nop
0x000000000000379c: 00 00 00 00 nop
0x00000000000037a0: 03 9C F8 24 and $ra, $gp, $gp
0x00000000000037a4: 00 00 00 00 nop
0x00000000000037a8: 03 FF 28 2A slt $a1, $ra, $ra
0x00000000000037ac: 00 00 00 00 nop
0x00000000000037b0: 00 A5 00 18 mult $a1, $a1
0x00000000000037b4: 03 28 70 24 and $t6, $t9, $t0
0x00000000000037b8: 01 CE 20 2B sltu $a0, $t6, $t6
0x00000000000037bc: 00 00 00 00 nop
0x00000000000037c0: 00 84 E8 2A slt $sp, $a0, $a0
0x00000000000037c4: 03 BD 10 22 sub $v0, $sp, $sp
0x00000000000037c8: 03 D3 00 2A slt $zero, $fp, $s3
0x00000000000037cc: 00 00 00 00 nop
0x00000000000037d0: 00 42 10 20 add $v0, $v0, $v0
0x00000000000037d4: 00 40 00 11 mthi $v0
0x00000000000037d8: 02 E0 00 11 mthi $s7
0x00000000000037dc: 01 4A 68 25 or $t5, $t2, $t2
0x00000000000037e0: 01 AD C0 20 add $t8, $t5, $t5
0x00000000000037e4: 00 00 00 00 nop
0x00000000000037e8: 03 18 00 18 mult $t8, $t8
0x00000000000037ec: 00 00 00 00 nop
0x00000000000037f0: 01 8C 00 18 mult $t4, $t4
0x00000000000037f4: 00 00 00 00 nop
0x00000000000037f8: 02 73 98 20 add $s3, $s3, $s3
0x00000000000037fc: 00 00 00 00 nop
0x0000000000003800: 02 60 00 11 mthi $s3
0x0000000000003804: 00 0A A8 2B sltu $s5, $zero, $t2
0x0000000000003808: 00 00 00 00 nop
0x000000000000380c: 02 31 E8 22 sub $sp, $s1, $s1
0x0000000000003810: 00 00 88 12 mflo $s1
0x0000000000003814: 02 31 C8 24 and $t9, $s1, $s1
0x0000000000003818: 01 7C 60 25 or $t4, $t3, $gp
0x000000000000381c: 03 39 E8 20 add $sp, $t9, $t9
0x0000000000003820: 00 25 B8 20 add $s7, $at, $a1
0x0000000000003824: 00 E0 98 22 sub $s3, $a3, $zero
0x0000000000003828: 03 BD 18 22 sub $v1, $sp, $sp
0x000000000000382c: 00 63 E0 22 sub $gp, $v1, $v1
0x0000000000003830: 03 9C C0 22 sub $t8, $gp, $gp
0x0000000000003834: 00 00 00 00 nop
0x0000000000003838: 03 18 C8 20 add $t9, $t8, $t8
0x000000000000383c: 03 39 C8 20 add $t9, $t9, $t9
0x0000000000003840: 00 00 00 00 nop
0x0000000000003844: 00 00 00 00 nop
0x0000000000003848: 03 39 00 18 mult $t9, $t9
0x000000000000384c: 00 00 00 00 nop
0x0000000000003850: 00 00 00 00 nop
0x0000000000003854: 00 84 28 20 add $a1, $a0, $a0
0x0000000000003858: 00 00 00 00 nop
0x000000000000385c: 00 00 00 00 nop
0x0000000000003860: 00 A5 A0 20 add $s4, $a1, $a1
0x0000000000003864: 01 A3 C8 2A slt $t9, $t5, $v1
0x0000000000003868: 00 00 00 00 nop
0x000000000000386c: 02 94 C0 22 sub $t8, $s4, $s4
0x0000000000003870: 00 00 00 00 nop
0x0000000000003874: 00 00 68 10 mfhi $t5
0x0000000000003878: 00 00 00 00 nop
0x000000000000387c: 01 AD 48 25 or $t1, $t5, $t5
0x0000000000003880: 01 20 00 11 mthi $t1
0x0000000000003884: 00 00 00 00 nop
0x0000000000003888: 03 20 00 11 mthi $t9
0x000000000000388c: 00 00 00 00 nop
0x0000000000003890: 01 29 F8 22 sub $ra, $t1, $t1
0x0000000000003894: 03 FF 28 20 add $a1, $ra, $ra
0x0000000000003898: 00 00 00 00 nop
0x000000000000389c: 00 A5 E0 22 sub $gp, $a1, $a1
0x00000000000038a0: 00 00 00 00 nop
0x00000000000038a4: 03 9C 70 20 add $t6, $gp, $gp
0x00000000000038a8: 00 00 A8 10 mfhi $s5
0x00000000000038ac: 02 B5 38 2B sltu $a3, $s5, $s5
0x00000000000038b0: 00 00 00 00 nop
0x00000000000038b4: 00 AF 70 25 or $t6, $a1, $t7
0x00000000000038b8: 00 E7 00 19 multu $a3, $a3
0x00000000000038bc: 01 37 70 25 or $t6, $t1, $s7
0x00000000000038c0: 01 05 D0 25 or $k0, $t0, $a1
0x00000000000038c4: 03 18 00 18 mult $t8, $t8
0x00000000000038c8: 00 00 00 00 nop
0x00000000000038cc: 01 D1 B0 22 sub $s6, $t6, $s1
0x00000000000038d0: 03 7B 78 25 or $t7, $k1, $k1
0x00000000000038d4: 00 CF D8 20 add $k1, $a2, $t7
0x00000000000038d8: 00 00 68 10 mfhi $t5
0x00000000000038dc: 00 00 00 00 nop
0x00000000000038e0: 01 AD 38 22 sub $a3, $t5, $t5
0x00000000000038e4: 00 00 00 00 nop
0x00000000000038e8: 00 00 00 00 nop
0x00000000000038ec: 00 42 F8 20 add $ra, $v0, $v0
0x00000000000038f0: 00 00 00 00 nop
0x00000000000038f4: 01 97 F0 25 or $fp, $t4, $s7
0x00000000000038f8: 00 00 48 10 mfhi $t1
0x00000000000038fc: 00 00 00 00 nop
0x0000000000003900: 02 8E 00 2A slt $zero, $s4, $t6
0x0000000000003904: 03 08 D0 25 or $k0, $t8, $t0
0x0000000000003908: 00 00 00 00 nop
0x000000000000390c: 03 DE 78 2A slt $t7, $fp, $fp
0x0000000000003910: 00 00 00 00 nop
0x0000000000003914: 01 EF 58 25 or $t3, $t7, $t7
0x0000000000003918: 01 6B 50 22 sub $t2, $t3, $t3
0x000000000000391c: 01 4A 08 25 or $at, $t2, $t2
0x0000000000003920: 00 20 00 13 mtlo $at
0x0000000000003924: 00 00 00 00 nop
0x0000000000003928: 00 00 00 00 nop
0x000000000000392c: 01 8C B8 25 or $s7, $t4, $t4
0x0000000000003930: 02 E0 00 11 mthi $s7
0x0000000000003934: 03 A2 98 24 and $s3, $sp, $v0
0x0000000000003938: 02 B5 50 20 add $t2, $s5, $s5
0x000000000000393c: 00 00 00 00 nop
0x0000000000003940: 01 4A 58 20 add $t3, $t2, $t2
0x0000000000003944: 00 00 00 00 nop
0x0000000000003948: 01 60 00 11 mthi $t3
0x000000000000394c: 00 00 00 00 nop
0x0000000000003950: 02 52 80 20 add $s0, $s2, $s2
0x0000000000003954: 00 1A 78 22 neg $t7, $k0
0x0000000000003958: 02 10 B0 25 or $s6, $s0, $s0
0x000000000000395c: 00 00 00 00 nop
0x0000000000003960: 00 00 00 00 nop
0x0000000000003964: 02 D6 F8 2B sltu $ra, $s6, $s6
0x0000000000003968: 03 FF 48 20 add $t1, $ra, $ra
0x000000000000396c: 01 29 D8 22 sub $k1, $t1, $t1
0x0000000000003970: 00 00 00 00 nop
0x0000000000003974: 00 00 00 00 nop
0x0000000000003978: 00 00 B0 12 mflo $s6
0x000000000000397c: 02 D6 98 2A slt $s3, $s6, $s6
0x0000000000003980: 00 00 00 00 nop
0x0000000000003984: 02 73 48 22 sub $t1, $s3, $s3
0x0000000000003988: 00 00 00 00 nop
0x000000000000398c: 00 00 A8 12 mflo $s5
0x0000000000003990: 02 B5 B8 22 sub $s7, $s5, $s5
0x0000000000003994: 00 00 00 00 nop
0x0000000000003998: 00 00 00 00 nop
0x000000000000399c: 00 00 E8 10 mfhi $sp
0x00000000000039a0: 00 00 00 00 nop
0x00000000000039a4: 00 00 00 00 nop
0x00000000000039a8: 03 BD 00 20 add $zero, $sp, $sp
0x00000000000039ac: 01 AF 90 22 sub $s2, $t5, $t7
0x00000000000039b0: 02 52 80 2B sltu $s0, $s2, $s2
0x00000000000039b4: 00 00 00 00 nop
0x00000000000039b8: 02 10 38 24 and $a3, $s0, $s0
0x00000000000039bc: 10 00 FF FF b 0x39bc